Could anybody tell me about Virtual Clock??

Status
Not open for further replies.

evesjh77

Newbie level 5
Joined
Apr 12, 2012
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,342
Please tell me about "Virtual Clock" easily and detailedly.
Thank you.
 

Virtual clock is the clock which is logically not connected to any port of the design and physically doesn’t exist. A virtual clock is used when a block does not contain a port for the clock that an I/O signal is coming from or going to. Virtual clocks are used during optimization; they do not really exist in the circuit.

Virtual clocks are clocks that exist in memory but are not part of a design. Virtual clocks are used as a reference for specifying input and output delays relative to a clock. This means there is no actual clock source in the design. Assume the block to be synthesized is “Block_A”. The clock signal, “VCLK”, would be a virtual clock. The input delay and output delay would be specified relative to the virtual clock.
 
Thank you Very Much.
I'm exactly understand about Virtual Clock.
Thank you so much.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…