Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

conversion of negative floating point in binary using VHDL

Status
Not open for further replies.

sougata_vlsi13

Member level 4
Joined
Apr 19, 2013
Messages
77
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
India
Activity points
1,980
I was literally stuck witha problem regarding the conversion of negative floating point in binary and to write it in VHDL.For example the num is -0.8.when i convert it into binary it is like .11001100.since it is -ve i have to convert it into 2's complement and to provide the extra MSB bit.
My question is that how to represent it in VHDL without using that decimal point.Plz kindly help me in this regard.
 

Did you read that 2's complement article on wikipedia I linked to earlier?
 

    V

    Points: 2
    Helpful Answer Positive Rating
yes i read that article...i know about the representation but i dont know how to remove that decimal point while writing it in vhdl bcoz if i write with the floating point the code is going to be non synthesizable
 

I take it that multiplying by SCALE FACTOR as suggested in previous posts is something that happens to other people? Re-read old stuff, check scale factor.
 

    V

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top