Control LEDs ON/OFF using VHDL

Status
Not open for further replies.

sally wang

Newbie level 5
Joined
Jan 2, 2008
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,336
Hi, I'm trying to develop a VHDL code for LEDs control. Is there anyone can help me? Here are some relative attachment.

STB - Standby
PWR - Power On
TRG - Trigger
UPL - Upload
OVR - Over Range
SMP - Sample
CLK - Clock Active
IDL - FPGA RDY/IDLE
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…