Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Control LEDs ON/OFF using VHDL

Status
Not open for further replies.

sally wang

Newbie level 5
Joined
Jan 2, 2008
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,336
Hi, I'm trying to develop a VHDL code for LEDs control. Is there anyone can help me? Here are some relative attachment.

STB - Standby
PWR - Power On
TRG - Trigger
UPL - Upload
OVR - Over Range
SMP - Sample
CLK - Clock Active
IDL - FPGA RDY/IDLE
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top