confused with modelsim libraries

Status
Not open for further replies.

syedshan

Advanced Member level 1
Joined
Feb 27, 2012
Messages
463
Helped
27
Reputation
54
Reaction score
26
Trophy points
1,308
Location
Jeonju, South Korea
Activity points
5,134
Dear all,

I am having annoying trouble with the Modelsim libraries.

1) I compiled all libraries with "Simulation Library Compilation Wizard" I got 34 errors for edk, and one more error for another thing, I forgot to take the snapshot and post here... Is it really worrying thing to be

2) In Process properties of Simulate behavioural model -> what to put in "compiled Library directory"... Some time when I put the working directory "C:\Xilinx\13.2\ISE_DS\ISE" it works and some time does not... Then I have to make it default i.e. "$XILINX\<language>\<simulator>" so sometime it works with it and some time not then I again change to previous and it start working...

3) what does $XILINX\<language>\<simulator> means...! please elaborate...

Sorry for being so verbose... I have one more strange problem but I will post it later...

Thanks in advance...
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…