Concatenate FSDB or VCD files

Status
Not open for further replies.

pgbackup

Newbie level 6
Joined
May 7, 2009
Messages
13
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,283
Activity points
1,607
Is it possible to concatenate FSDB files? I have two files A and B with same signals going from 0 - 100 units. I want to have a new file C with 0-100 with A and 101-200 with B. Is ther an easy way to do this with FSDB or VCD files? I've looked at fsdbmerge but that seems to just overlap the signals. Thanks.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…