Clk multiplication in Spartan 2

Status
Not open for further replies.

Nikolai

Member level 3
Joined
Jun 24, 2007
Messages
62
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
1,879
clk dll in spartan 2

My spartan 2 kit has an external crystal of 4 Mhz. I need to generate 2 clocks of period 30 ns and 240 ns respectively.

Is this possible ? I guess DLL can perform only clock division, not multiplication.
 

mnimum dll frquency spartan2

That's correct, the Spartan-II doesn't have frequency synthesizers like newer FPGAs.
You'll need another external oscillator or synthesizer.
 

    Nikolai

    Points: 2
    Helpful Answer Positive Rating
U can make ur own clock from 4MHz but it will be the integral multiple of 4. U can use the posedge and negedge of the clock and double it and keep doing further until supported...... if it can solve ur problem then try it and for this i can even give u the code as well but in verilog
 

Hi aafaq, If you your quadrupling method works well, please show us how you do it!
 

I just realized that a DLL can do a 2X freq multiplication. And spartan 2 has 4 DLLs.
I wonder if all four can be used to achieve a 16X factor.
 

The Spartan-II DLL has a 2X feature, but its minimum input frequency is 25 MHz. See FCLKINLF in the data sheet.

Maybe you can replace your 4 MHz oscillator with something faster.

Cascading too many DLLs can cause malfunction due to jitter accumulation. Here's more info:
**broken link removed**
 

    Nikolai

    Points: 2
    Helpful Answer Positive Rating
Yes, i forgot the minimum freq requirement. Probably i'll try moving on to spartan 3 or get a faster crystal
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…