CIC decimation in vhdl

Status
Not open for further replies.

mayaraj

Newbie level 4
Joined
Oct 5, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,305
can any1 please give me a sample vhdl code for CIC decimation filter please.
thankyou,
maya
 

step 1: try yourself.
step 2: post results.
step 3: ask specific questions about specific problems.

Good luck with your homework!

Nono, don't bother replying how unhelpful that was. Expend your keystrokes instead on googling for "CIC decimation HDL" and such.
 

sorry,i'm very new 2 VHDL.
 

Are you new to this concept of "search engines" too? You could at the very least expend a little effort searching what's out there. Then post here what you managed to find, and then ask for any further pointers. That way your post is also useful for other people. As it stands your post looks too much like those "hi! I am lazy! please help!" posts.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…