Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Can I use HSIZE signal from master as an input to arbiter for AMBA AHB?

Status
Not open for further replies.

vvmalode

Newbie level 1
Joined
Oct 16, 2013
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
49
Hi,
I am implementing arbiter module for AMBA AHB protocol for real time masters in verilog HDL. For that I need to calculate deadline for the real time masters. It will be calculated as:

Deadline = Execution time + Arrival time of request + slack(assumed).

Hence I need to know the amount of data the master is going to read or write for calculating execution time. I need the HBURST signal, that is already input to arbiter and HSIZE signal that is not an input to the arbiter to calculate the total amount of data to be transferred and hence to calculate execution time. For that I am planning to implement a deadline calculator inside arbiter.

(1) So my question is that can I use HSIZE signal from the master as input to the arbiter (see attachment 1).

(2)Or should I implement a deadline calculator block outside arbiter block and give its output to arbiter (see attachment 2), but in that case also I will have to make use of a new signal which is not specified by the protocol. Is this allowed?

(3) If not then how will I calculate deadline for the request issued by real time master.

Waiting for your urgent reply!!!!!!! :p
ATTACHMENT_1 https://docs.google.com/file/d/0B1CRaclbj6rDc2N6TWpkM252enc/edit?usp=sharing

ATTACHMENT_2 https://docs.google.com/file/d/0B1CRaclbj6rDY2cxU3duMGhYbms/edit?usp=sharing
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top