Cadence Verilog-XL path and permission problem

Status
Not open for further replies.

njabbour

Newbie level 1
Joined
Mar 14, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
HI,

I am facing many problems while openening VERILOG-XL in CADENCE.If i am going to V-XL through schematic (as tool-simulation-verilog-xl-setup enironment-run directory(ex:mos.run1)) after setup env's ok ,its showing the error as "INVALID VERILOG EXECUTABLE VERILOG,Please check existance and for permissions and try again,relative path names are relative run directory"...If i clsose the simulation option warning then verilog-xl window is opening.

How can i solve it?

Thanks in advance...
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…