cadence encounter netlist

Status
Not open for further replies.

conmourtz

Member level 1
Joined
Mar 29, 2012
Messages
36
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
Volos, Greece
Activity points
1,529
Hello i am using synopsys design compiler and cadence encounter to create a chip. i have a question. i am at import netlist phase. which commands i need to use at synopsys design compiler so the netlist that will be produced be the right one for encounter? here are the commands that i use:


analyze -format verilog $my_verilog_files

elaborate $my_toplevel

create_clock -period 10 -name CLK [get_ports clk]

# Check for warnings/errors
check_design

set_input_delay 0 -clock CLK [all_inputs]
set_output_delay 0 -clock CLK [all_outputs]
set_max_delay 3 -from [all_inputs] -to [all_outputs]
set_max_area 0
set_max_dynamic_power 0

set_false_path -from [get_ports reset]

uniquify

compile_ultra

write -hierarchy -format verilog -output toplevel_post_synth.v

write_sdc constraints.sdc


is this right or i need to change/ import something else?
ty
 

check encounter tutorial on the internet. I think you have all the things needed which is post_synthesis file and the constraints file. But do check an encounter tutorial on the net.
 

i know what i need, i just want to know with which commands on design compiler i produce the right netlist for encounter...
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…