Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

BRAM core generator in xilinx

Status
Not open for further replies.

sougata_vlsi13

Member level 4
Joined
Apr 19, 2013
Messages
77
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
India
Activity points
1,980
I am not getting how to load the COE file in the section named as load init file in the xilinx core generator.....is it a file in text document or anything else.....please anybody help
 

If you look in the xilinx documentation it very clearly explains the format of the coe file. It should be something like this:

memory_initialization_radix=16;
memory_initialization_vector =0000, 0003, 0006, 0009, 000C, 000F, 0012, 0015, 0019, 001C, 001F;
 

If you look in the xilinx documentation it very clearly explains the format of the coe file. It should be something like this:

memory_initialization_radix=16;
memory_initialization_vector =0000, 0003, 0006, 0009, 000C, 000F, 0012, 0015, 0019, 001C, 001F;

Sir I agree with what u have told but i want to know from where should i browse the document....means should i load it in text file and then load it in the INIT file,I am enclosing some portions of my data in the snapshot that was in ISE text editor...please help me in this regard.
 

Attachments

  • addr.png
    addr.png
    235 KB · Views: 133

You only need a COE file if you want the memory to power up with values other than zero. If you do, you need to create a .coe file yourself and then select that file in Coregen in the part where you can specify an initialization file. Your code shows that you are already initializing the memory in RTL (or so it would appear). If that is the case, you don't need a COE file.

r.b.
 

I agree with r.b. What are you REALLY trying to do? There is no need to initialize the memory in RTL-that's just wasting resources. When you use Coregen to create your memory, you just point to the COE file you have created.
 
Thanks everybody for your reply....but sir now one error is coming.The error is that in the AES code which i have written it doesn't have any clock,...be more specific i can say that in the sbox module i didn't use clock but the BRAM core generator has clock....so now the problem is that the proper output is not coming,may be due to some delay in clock....please help...what should i do now
 

i think may b due to clk there is 1 clk cycle delay in the code ?

- - - Updated - - -

i think may b due to clk there is 1 clk cycle delay in the code ?:roll:
 

Well, a few things:

a) We have no idea what your design looks like so we have no way of properly commenting on it.
b) When you did your system design , I assume you sat down and figured out your system timing regarding the BRAM and your AES design. Typically, a designer will review the BRAM datasheets and their own design, figure out timing by doing a timing diagram and and only then integrate the two blocks . It would be especially tricky if the AES block was combinatorial but the BRAM was synchronous. BRAMs need clocks and, depending on the mode used, the data may be delayed during a read.
c) Then a designer will typically simulate their design to see if it behaves as expected.

If you haven't done these things yet, then b) and c) are the things you should do now. If you have done them, post your code with specific descriptions of the problems.

r.b.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top