Blocked and Distributed Ram inference in xilinx fpga

Status
Not open for further replies.

kil

Member level 5
Joined
Feb 15, 2006
Messages
89
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Location
banglore
Activity points
2,032
Hi all,

Why in xilinx the blocked Ram and the Distributed Ram are infered when the READ_ADD is registerd (blocked RAM) and when not registerd it will be infered as Distributed RAM.

Thanks
Kil
 

The Xilinx Block RAM in silicon has a permanent address input register that cannot be bypassed. It other words, Block RAM can't do asynchronous reads. If your HDL doesn't include a similar register, then the synthesis tool can't use a Block RAM, and must fall back to using Distributed RAM.
 

I have coded my VHDL so as to have a register array that is 32deep X 42bits .. The problem I'm facing is that when running XST, this only code takes around 5 hours of synthesis/optimization !! .. and I get this warning:

What could be the reason for this long synthesis time ? ..
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…