Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Block RAM in xilinx Virtex-II pro

Status
Not open for further replies.

jkbagada

Newbie level 6
Joined
Aug 14, 2010
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,361
Hello friends

I am trying to implement Cache emulator on Virtex-II pro(VHDL).
i wish to use the block RAM available to form cache of 1KB, i dont have any idea about how to use Block RAM and i couldnt follow any online material for the same.

can anybody explain this or suggest some reading material, website or something.


Would be a great help
JB
 

Thanks Farhada

i have generated the BRAM 512x32 using CoreGen and its working fine.......but i didnt initialize it.....
i wish to initialize the RAM with some data..........from internet i learned that either i have to write a .COE file or use an Initialization template......i m confused abt to use these....
can you tell me the exact way to do this.

Thanking you
JB
 

Use the CoreGen to create your memory block and there you can choose to create a .COE file this can help you:
**broken link removed**
 

i checked that out but its for FIR filter..... .coe for BRAm would be different rit?

while creating BRAM using CoreGen there was an option of attaching .coe file but i skipped that since i didnt have file at that time...
 

do the same thing again and create one, then you can edit the file in excel or matlab
 

thanks farhada

i think it is same as the .coe syntax given on Xilinx website..........can i copy paste this in a text file with .coe extention and attach it with the BRAM ?
 

Yes, these are normal text files, you can edit them manually, use Excel or Matlab as I mentioned earlier.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top