Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Basic PC to FPGA UART Tx and Rx : Nexys A7

Status
Not open for further replies.

Div_01

Newbie level 4
Joined
Dec 20, 2021
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
65
Hi everyone. I am currently exploring the Nexys A7 FPGA board. When I was using Nexys2 Spartan 3E board, I was unable to send data from PC through UART.
My questions are,
1) How can I establish PC to FPGA connection using UART communication? In the sense, I want to send the data from PC to my Nexys A7 board.
2) Is it possible to send and receive more than 8 bits of data through UART?
3) How to perform a simple DAC experiment on the FPGA board using external DAC and getting the readouts through UART?
I have not coded yet, but any sort of explanation would be helpful.

Thanks & Regards,
Divya
 

1. Unlike Nexys2, A7 board provides a virtual COM port through USB interface. Many examples using this interface can be found on the net. They always involve an UART implemented in FPGA code.
2. Standard UART characters are 8-bit by design. Larger data entities have to implemented on the protocol layer.
3.Sending and receiving numeric values as formatted decimal or hexadecimal strings would be a simple method.
 

3.Sending and receiving numeric values as formatted decimal or hexadecimal strings would be a simple method.
Thank you for the quick response!
Regarding (3), do you happen to know which website I can refer to? As most of the examples I have come across involve generation of sine waves
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top