Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

assign statement in rtl compiler

Status
Not open for further replies.

dha_synth

Junior Member level 1
Joined
Jun 5, 2015
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
128
hello all,

there are number of assign statemnts are there in the netlist i have generated using RC,
can anybody please tell me how to add buffer or inverter to avoid the consequences in the netlist.

Any other technique to resolve the problem will be welcome.

thanx in advance
dha_synth
 

1) Set the following root attribute to TRUE
attribute ==> remove_assigns
2) instruct RTL compiler which buffer to use , using the blow command
set_remove_assign_options -buffer_or_inverter <BUFFER LIBCELL NAME>
3) run incremental optimization.
synth -to_mapped -incr

--
Shobhit
 

hi shobhit,

thanx for reply, i have tried these steps and also took help from attribute user guide but still getting the assigns in netlist.
Is there any setting that disables the removal of assign statements.

thanx
 

Hi

Why in specific we check for assign statement ?? Why it shudn't be present in the netlist ?
 

Well now the cadence (14.2) flow is able to handle with the assign inside the netlist.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top