Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

anyone could help me with the $random system task problem?

Status
Not open for further replies.

shiningblue

Newbie level 6
Joined
Jan 25, 2005
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
USA
Activity points
92
vsim-pli-3691

I use the system task $random in my design, like:

a_in = {$random(0)};

there is no error of compiling, but when simulating, there is an error:

Error: (vsim-PLI-3691) : Expected a system task, not a system function '$random'.

anyone could help me to fix this problem?

thanks.
 

expected a system task, not a system function

what is the type of a_in? is it an array by any chance?
 

expected a system task not a system function

shiningblue said:
I use the system task $random in my design, like:

a_in = {$random(0)};

there is no error of compiling, but when simulating, there is an error:

Error: (vsim-PLI-3691) : Expected a system task, not a system function '$random'.

anyone could help me to fix this problem?

thanks.

It's better to demonstrate your code context!

Thomson
 

vsim expected a system task not a system function

shiningblue said:
I use the system task $random in my design, like:

a_in = {$random(0)};

there is no error of compiling, but when simulating, there is an error:

Error: (vsim-PLI-3691) : Expected a system task, not a system function '$random'.

anyone could help me to fix this problem?

thanks.

What exactly are you trying to do? Assign a random value to a_in? Just use:

a_in = $random;

HTH
Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top