Anyone Can help me translate this code to VHDL?

Status
Not open for further replies.

nansity

Newbie level 4
Joined
Jul 1, 2006
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,317
void solve(void){
int temp,temp1,velo;

{

velo=temp*36;
DISBUF[2]=(velo/1000);
temp1 =(velo%1000);
DISBUF[1]=(temp1/100);
}
}

This is a some of C code for separate the number and sent it to 7-segment.
Would you please help me translate it in VHDL. I want to send the number to
7-segment such as show "5462" at the same time.

Thanks in Advices
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…