AMS simulation error with virtuoso

Status
Not open for further replies.

Deka87

Newbie level 6
Joined
Jan 12, 2013
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,390
Dear all,

I've a problem during the AMS simulation in virtuoso.

I have created a VHDL module, which generates a PRBS signal and I have imported its in the library manager correctly, because the AMS simulation works well.

There is a problem when i try to connect the output of the VHDL module to a electrical model (i.e. vcvs or my verilog-AMS model).

During the simulation, in the log is shown the following error:
ncelab: *E,BLPMDE (./netlist.vams,26|24): VHDL BUFFER and LINKAGE port cannot occur at mixed language mixed domain boundary.

I've tried to google, but I don't find any results.

Can you help me?

Thanks a lot

Francesco
 

there is no verilog equivalent to ports of type BUFFER and LINKAGE. modify them to in/out/bidir and it should work.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…