Altera FPGA Verilog Code İmage proceessing Help

Status
Not open for further replies.

harezmi

Newbie level 1
Joined
Nov 20, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
Hi ı have a problem about this verilog code ı dont understand what does it mean ? Can someone explain this code thanks...


reg [0:0] pix_flop, R_B_line, new_img, new_line;
input pclk, vsync, hsync;

always @(posedge hsync) begin
R_B_line <= ~R_B_line;
if(~new_img) R_B_line <= 0;
end
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…