Altera ALTERA_MULT_ADD Saturation

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,

Has anyone ever configured the ALTERA_MULT_ADD core to use Saturation and Rounding ?
 

Saturation is a DSP hardware feature of some FPGA families, e.g. Stratix II - IV. It can be only used in mult_add IP if the hardware supports it.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Saturation is a DSP hardware feature of some FPGA families, e.g. Stratix II - IV. It can be only used in mult_add IP if the hardware supports it.
So if I'm using Arria V for example - I'll have to do it with logic ?
 

Yes. ieee.fixed_pkg saturation and rounding features can be e.g. used.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
is ieee.fixed_pkg well supported for synthesis ?
 

is ieee.fixed_pkg well supported for synthesis ?

Depends on tool, version, etc.
I think only synopsys supports the 2008 version. Other tool support the '93 compatible version (that you will need your own copy of).

Otherwise rounding and saturation is a simple operation.
Round = +0.5 and chop the LSBs off.
Saturate is just some compares and a mux.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Otherwise rounding and saturation is a simple operation.
Round = +0.5 and chop the LSBs off.
Saturate is just some compares and a mux.
Simple as it is - it'll almost certainly be slower than the speed of the hardened DSP silicon.
A weak link in the chain.

The more I look at it the more it seems like Xilinx's DSP48 is richer than Altera's block (at least for Arria & Cyclone devices).
 

Otherwise rounding and saturation is a simple operation.
Round = +0.5 and chop the LSBs off.
Saturate is just some compares and a mux.
Yes, you can write functions to perform the operations. The convenience of fixed_pkg is that is is redefining the arithmetic operators. Altera Quartus has ieee.fixed_pkg supported with VHDL 2008 since version 15.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…