Advanced constructs in VHDL for synthesis

Status
Not open for further replies.

Elephantus

Junior Member level 3
Joined
Jul 11, 2005
Messages
31
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Activity points
1,627
vhdl fileio

Hi all.

I was looking through multiple IP cores recently and I noticed that most of the cores use a very limited subset of VHDL. However, looking at synthesis tools available today, I noticed that they support quite a lot of language features including structured datatypes (records) and file I/O.

I've currently seen only one IP (LEON2 SPARC processor from Gaisler, open source) which uses records in RTL coding.

I was wondering what's the common opinion on using these features? Do you use it or not and why?
 


Are you sure File IO is supported? Which tool? AFAIK File IO is a sim-only concept. Records are great features, but under utilized due to historic "fears of synthesis tools". As you said, i see no reason to hold back on using them now.

Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…