adding some microsecond delay in vhdl program..

Status
Not open for further replies.

gauree

Newbie level 1
Joined
Jul 9, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
i m writing a vhdl program and i want to add in that program 125 microsecond delay but how to do it i don't know if any one know help me..
 

Hi gauree,

Please clarify, whether you are trying to write a test bench or Design?
If it is test bench you can use wait statement. If it is Design program using source clock try to add flops.Hope it will helpful to you.
 

thank you , your reply is helpful
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…