Harishddixit
Newbie level 2
- Joined
- Apr 8, 2013
- Messages
- 2
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1,281
- Activity points
- 1,295
Hi All ,
Obtaining the following error when compiling the code mentioned below the error :
Error :
Please help how to fix the issue, Beginner here
Obtaining the following error when compiling the code mentioned below the error :
Error :
Data=$urandom;
|
ncvlog: *E,WANOTL (code.sv,133|5): A net is not a legal lvalue in this context [9.3.1(IEEE)].
module worklib.checker:sv
errors: 1, warnings: 0
irun: *E,VLGERR: An error occurred during parsing. Review the log file for errors with the code *E and fix those identified problems to proceed. Exiting with code (status 1).
Code Verilog - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 module checker( output logic Clock, Reset, Enable,UpDn , output reg Load, input reg [7:0] Data , input reg [7:0] Q ); reg [7:0]temp; assign Q = (temp); initial begin Clock = 0; forever #10 Clock = ~Clock; #1000 $finish; end initial begin temp=0; end always @ (posedge Clock) begin Reset=1; #10 Reset=0; Enable=1; Load=$urandom; UpDn=1; Data=$random; end endmodule
Please help how to fix the issue, Beginner here
Last edited by a moderator: