Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

libraries in modelsim in vhdl

Status
Not open for further replies.

214

Junior Member level 2
Joined
Feb 22, 2016
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
247
i have used the following libaries

LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.numeric_std.all;

still the error in modelsim shows :

** Error: G:/vhdl code/vhdl code/dwt_main.vhd(30): (vcom-1078) Identifier "UNSIGNED" is not directly visible.

Potentially visible declarations are:
ieee.NUMERIC_STD.UNSIGNED (subtype declaration)
ieee.std_logic_arith.UNSIGNED (type declaration)

** Error: G:/vhdl code/vhdl code/dwt_main.vhd(31): (vcom-1078) Identifier "SIGNED" is not directly visible.

Potentially visible declarations are:
ieee.NUMERIC_STD.SIGNED (subtype declaration)
ieee.std_logic_arith.SIGNED (type declaration)

how to solve it
 

The error is caused by using two conflicting numeric libraries together. If you are using ieee.numeric_std, you can't use ieee.std_logic_arith.
 

The error is caused by using two conflicting numeric libraries together. If you are using ieee.numeric_std, you can't use ieee.std_logic_arith.

You can use them, as you can directly assign whichever you want. eg:

signal a : numeric_std.unsigned;
signal b : std_logic_arith.unsigned;

Meaning you can now use both libraries in the same file. But why bother? just delete the non-standard std_logic_arith library and your life is made easier.
 

numeric_std and std_logic_arith are standart for Modelsim,but it have to right way in using only one of them.
 

numeric_std and std_logic_arith are standart for Modelsim,but it have to right way in using only one of them.
Actually this should be... Modelsim includes both libraries, the IEEE library numeric_std and the Synopsys library std_logic_arith. Only numeric_std is part of the official language.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top