fanwel
Full Member level 3
Hi all;
I write a VHDL code below. There are no error when I compile it in Quartus, but then fatal error occur when I try to simulate in ModelSim.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity LUT_h1 is
port(
address : in std_logic_vector(7 downto 0);
result : out std_logic_vector(8 downto 0)
);
end LUT_h1;
architecture behavioral of LUT_h1 is
type LUT is array(0 to 3) of std_logic_vector(8 downto 0);
constant lut_h1calc : LUT := (
"000000000",
"000000000",
"000000000",
"000000001");
begin
process(address)
variable address_int : std_logic_vector (7 downto 0);
begin
address_int := address;
result <= lut_h1calc(conv_integer(address_int));
end process;
end behavioral;
configuration config_lut_h1 of LUT_h1 is
for behavioral
end for;
end config_lut_h1;
----------------------------------------------------------------------------------------------------
This is the error occur in ModelSim.
# Cannot continue because of fatal error.
# HDL call sequence:
# Stopped at H:/altera/LUT_h1_tb/LUT_h1.vhd 26 Process line__22
What is my mistake..anyone help me please..Thank you.
I write a VHDL code below. There are no error when I compile it in Quartus, but then fatal error occur when I try to simulate in ModelSim.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity LUT_h1 is
port(
address : in std_logic_vector(7 downto 0);
result : out std_logic_vector(8 downto 0)
);
end LUT_h1;
architecture behavioral of LUT_h1 is
type LUT is array(0 to 3) of std_logic_vector(8 downto 0);
constant lut_h1calc : LUT := (
"000000000",
"000000000",
"000000000",
"000000001");
begin
process(address)
variable address_int : std_logic_vector (7 downto 0);
begin
address_int := address;
result <= lut_h1calc(conv_integer(address_int));
end process;
end behavioral;
configuration config_lut_h1 of LUT_h1 is
for behavioral
end for;
end config_lut_h1;
----------------------------------------------------------------------------------------------------
This is the error occur in ModelSim.
# Cannot continue because of fatal error.
# HDL call sequence:
# Stopped at H:/altera/LUT_h1_tb/LUT_h1.vhd 26 Process line__22
What is my mistake..anyone help me please..Thank you.