Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

.BMP file reading in VHDL

Status
Not open for further replies.

info_req

Member level 5
Joined
Jun 20, 2007
Messages
81
Helped
6
Reputation
12
Reaction score
4
Trophy points
1,288
Location
Pakistan
Activity points
1,764
Dear all,
I want to read a .BMP file in VHDL. What procedure I should adapt????
Is any core /code written in VHDL available? or tutorial availble


Thanks
 

info_req said:
Dear all,
I want to read a .BMP file in VHDL. What procedure I should adapt????
Is any core /code written in VHDL available? or tutorial availble


Thanks

Read VHDL FAQ at www.vhdl.org/comp.lang.vhdl

There is binary file read example there. However for portability I would recommend you stick to ASCII if you can.

Ajeetha, CVC
www.noveldv.com
 

Hi,

Did you get any answer.
I have the code. If you still needs it, I would help you.

Lemme no...

Regards,
 
Can you share it with us?:)
I need to read a bmp file in a testbenc
 

Simulators differ when it comes to binary file IO. Some create a header, while some (like modelsim) dont.

If you're not using modelsim, I cant help. But if you're using modelsim you can write/read characters directly to/from files to get the individual bytes. From there you can analyse the header and read the bitmap data directly into an array.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top