Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is timing closure ?

Status
Not open for further replies.

master.ro

Member level 1
Joined
Apr 18, 2006
Messages
38
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,286
Location
Romania
Activity points
1,523
Hello
What is timinig closure
Can you upload some documents or give me some links about timing closure?
Thank you!
 

Re: timing closure

Timing closure refers to the timing spec for a syn circuit which can be met. There are lots of nice papers discussing this topic. BTW, most of the time, timing closure is achieved by static timing analysis.
 

Re: timing closure

So, timing closure its the same thing with static timing analysis?
Thanks!
 

timing closure

no timing violation
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top