yashjain
Junior Member level 1
Hi,
I wanna read my text file to initialise an array of 2d.
Iḿ using this in my test bench.
Model sim error :-
# HDL call sequence:
# Stopped at /home/yash/workspace/video_anc_generic/sim/tb_generic_anc_extractor.vhd 118 ForLoop loop
how can I solve it?
I wanna read my text file to initialise an array of 2d.
Iḿ using this in my test bench.
Code:
signal stream_data : a2_std_logic_vector_type(39 downto 0)(6 downto 0)(9 downto 0);
signal din_anc : std_logic_vector(9 downto 0);
create_SDI_stream : process
variable anc_line : line;
variable anc_data : std_logic_vector(9 downto 0);
begin
file_open(file_anc,"file_anc.txt", read_mode);
if not endfile(file_anc) then
for i in 0 to 39 loop
readline(file_anc,anc_line);
for j in anc_line'range loop
hread(anc_line,anc_data);
stream_data(to_integer(anc_line))(j) <= anc_data;
wait for 1 ps;
end loop;
end loop;
end if;
file_close(file_anc);
end process;
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
000 FF1 3F0 3F1 001 CD0 CF0 FD0 DC1
Model sim error :-
# HDL call sequence:
# Stopped at /home/yash/workspace/video_anc_generic/sim/tb_generic_anc_extractor.vhd 118 ForLoop loop
how can I solve it?