sa007jbond
Member level 1
I am looking for a VHDL code for 74hc4094, for example as i have for 74HC595...
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY C595 IS PORT( SRCK, SRCLRN, RCK, GN, SER: IN std_logic:='U'; QA, QB, QC, QD, QE, QF, QG, QH, QHH: OUT std_logic); end C595; ARCHITECTURE behav OF C595 IS SIGNAL SO, Qstate : std_logic_vector(7 downto 0); begin process(SRCK, SRCLRN) begin if SRCLRN='0' then SO <= "00000000"; elsif (SRCK'event and SRCK='1') then SO(0) <= SER; SO(1) <= SO(0); SO(2) <= SO(1); SO(3) <= SO(2); SO(4) <= SO(3); SO(5) <= SO(4); SO(6) <= SO(5); SO(7) <= SO(6); end if; end process; process begin wait until (RCK'event and RCK='1'); Qstate <= SO; end process; QA <= Qstate(0) when GN='0' else 'Z'; QB <= Qstate(1) when GN='0' else 'Z'; QC <= Qstate(2) when GN='0' else 'Z'; QD <= Qstate(3) when GN='0' else 'Z'; QE <= Qstate(4) when GN='0' else 'Z'; QF <= Qstate(5) when GN='0' else 'Z'; QG <= Qstate(6) when GN='0' else 'Z'; QH <= Qstate(7) when GN='0' else 'Z'; QHH <= SO(7); end behav;
Last edited by a moderator: