Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How do I use VHDL write() function to print std_logic_vector type?

Status
Not open for further replies.

gongdori

Full Member level 2
Joined
Mar 7, 2012
Messages
133
Helped
21
Reputation
42
Reaction score
19
Trophy points
1,298
Activity points
2,035
Hi all,

I'm trying to use write() function to print out the contents of std_logic std_logic_vector type signals. Can anyone tell me how to do it?
I used to use report() function, but recently learned that write() can be used for printing things on a screen...
Thanks,

Gongdori
 

to use write to the screen, you need to write to OUTPUT.

write(my_line, some_slv);
writeline(OUTPUT, my_line);

but first of all make sure you have included std.textio.all and ieee.std_logic_textio.all before you can access the write functions.
I suggest reading a textio tutorial before you proceed.
 

Yup, you were right. I was missing ieee.std_logic_textio. It worked after I put that line in.
Thanks!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top