Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL code for ADC/DAC interface with Spartan 3E

Status
Not open for further replies.

Abhi_7

Newbie level 1
Joined
Feb 6, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
I am doing project on BPSK Modulation and demodulation implementation on FPGA Spartan 3E.
if you help us it will grateful, we need VHDL code ADC/DAC interface with Spartan 3E.

Regards & thanks
Abhinav
 

This exact question pops up every now and then... So you might get good results by using the search function on this forum.
 

It depends on the ADC. If it has an SPI interface then u need an SPI on the FPGA which u could find ready in EDK or on the internet (opencores.org). If the ADC will be connected to the FPGA in parallel, then u don't need an interface u can just read the inputs directly. Other details on the operation of the ADC should be in the datasheet, like the control signals and frequency of the ADC.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top