Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Component instantiation problem

Status
Not open for further replies.

krgarvens

Newbie level 2
Joined
Oct 22, 2008
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
I am getting the error

(vcom-1136) Unknown identifier "ipintf"

in my compile. I have the signal ipintf defined in a package which I then include in the file being compiled as a library.
My component instantiation looks like this:

library work;
use work.processor_defines.all -- the package processor_defines declares the signal ipintf
....

comp1: entity work.processor_model
port map(intf => ipintf,
....
);

I get the error message flagging the above mapping line. The port 'intf' and the signal 'ipintf' are both of the same type. I would think that by declaring the library component (which I have compiled, by the way), the referenced signal should be visible here.
 

Did You declare the defines file as a Package and then compile it into the work library? Which simulator are you using - ModelSim or NCSim?
 

This is with Modelsim. And yes, the file with the signal definition, processor_model in this case, is a package that has been compiled into the work directory.

Added after 59 minutes:

Never mind. I have found the problem. The file I was working with had multiple entity/architecture pairs in it. I was specifying my library include on the wrong entity.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top