Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Missing delay values in SDF file

Status
Not open for further replies.

ryodan_2004

Newbie level 3
Joined
Jul 5, 2008
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,307
I've synthesized my design using RTL Compiler. However, I observed that the generated SDF file contains only the worst/max delay for any gate. The minimum and typical delay values are missing. Is there any RC script command that will fill up the missing delays during SDF generation? TIA
 

Doesn't RC only use worst case timing?

If you want other corners, I think you might need to use encounter.
 

yes, always in our company the SDF file is generated using magma tool!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top