Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Implementing lvds mega function

Status
Not open for further replies.

sul

Newbie level 5
Joined
Sep 28, 2003
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
87
Hi,

I am trying to implement altlvds_rx mega function in Quartus 3.0.

The requirement is input line(to be de-serialized) receiving data at 300Mbps and another input signal "Start".
Only when "start" is asserted I need to start deserializing. Deserializing factor is 8.

The problem is if I use the pll_enable pin, connected to Start. After enabling the pll_enable it takes some clock cycles for the output clock to get locked and I loose some of the input bits.
Also data_align does not work, since it essentially just shifts the output by 1 bit.

Any help will be highly appreciated.

-Sul
 

sul said:
Deserializing factor is 8.
All LVDS devices that I know use deserializing factor 7. You should study datasheet for your LVDS source.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top