Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

(errno = ENOENT) in modelsim verilog module

Status
Not open for further replies.

kasettyram

Newbie level 2
Joined
Aug 18, 2010
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,296
# ** Warning: (vsim-3534) [FOFIR] - Failed to open file "input.txt" for reading.
# No such file or directory. (errno = ENOENT) : C:/Documents and Settings/students/My Documents/compare.v(35)
# Time: 0 ns Iteration: 0 Instance: /fileio
# ** Warning: (vsim-3534) [FOFIR] - Failed to open file "output.txt" for reading.

i have included d file in current project work space and also tried opening input.txt by giving the entire path in fopen arguments but still i am unable to open input.txt and getting the above error please help me
 

# ** Warning: (vsim-3534) [FOFIR] - Failed to open file "input.txt" for reading.
# No such file or directory. (errno = ENOENT) : C:/Documents and Settings/students/My Documents/compare.v(35)
# Time: 0 ns Iteration: 0 Instance: /fileio
# ** Warning: (vsim-3534) [FOFIR] - Failed to open file "output.txt" for reading.

i have included d file in current project work space and also tried opening input.txt by giving the entire path in fopen arguments but still i am unable to open input.txt and getting the above error please help me

Hi, you need note that: the input.txt should put in the modelsim run-up directory, or when compile the RTL code, you need use some option to indicate modelsim where to find included files. Try to put the file under the modelsim run-up directory first.
 
Check for your file extension is open or not...
You may write the file as input.txt, but OS understood it as input.txt.txt...
It's a common unwanted mistake... Create your file as "input"...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top