Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Hi all,doubt about verilog task

Status
Not open for further replies.

VLSImaniac

Newbie level 3
Joined
Aug 1, 2007
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
Hi, can anyone tell me why we should not model synchronous logic in a task?
 

Hi,
A task can only be called from within a procedural block,which for synthesis means a sequential begin-end block.A begin-end block can only be inside an always statement which must contain posedge or negedge construct in the sensitivity list,in order to model synchronous logic.Since synthesis tools cannot suport nested edge-triggered constructs,a task cannot be used to model sync logic.(read it somewhere, correct me if I am wrong)
 

    VLSImaniac

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top