Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

high impedance in vhdl

Status
Not open for further replies.

btminzon

Full Member level 2
Joined
Jun 12, 2006
Messages
122
Helped
9
Reputation
18
Reaction score
1
Trophy points
1,298
Location
Brazil
Activity points
2,140
vhdl high impedance

hi, i have a doubt using high impedance in vhdl:

I have two imputs, EAB01(0) and EAB01(1).

if (EAB01 = "01") then
reg <= data;
selec_toff <= "10";
elsif (EAB01 = "10") then
reg <= data;
selec_toff <= "01";
elsif (EAB01 = "11") then
reg_16 <= dados;
create_toff_16bit <= selec_toff;
elsif ((EAB01 = "00") or (EAB01 = "ZZ")) then
create_toff_16bit <= "00";
end if;

with selec_toff and create_toff_16bit been,

signal selec_toff: std_logic_vector(1 downto 0);
signal create_toff_16bit: std_logic_vector(1 downto 0);
EAB01: in std_logic_vector(1 downto 0);


but this assignment doesn´t work. How can i detect this high impedance? thank you
 

high impedance vhdl

There is no way to detect high impidance condition in the sintizable model of VHDL. Because when you have two or more devices interconnect there is always a leakage current or pull resistor (recommended).
Also in your code I would recomend to use case statement instead of if-elsif elsif construction..

Regards,
 

    btminzon

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top