Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to do text IO in vhdl

Status
Not open for further replies.

s3034585

Full Member level 4
Joined
May 24, 2004
Messages
226
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,296
Activity points
2,087
vhdl text io

Hi Guys
I am trying to write a text file out through VHDL can any one please tell me how to do it.

i have a vector which is 64 bit and now i want to write this into a text file in a hex format for verification. i am able to write in bit format but is there any way to convert it into a hex value and write into a file..

thanks in advance
tama
 

change write to hwrite in the process

I'm sure that will work, if not let me know and I'll write it out in full

bob
 

    s3034585

    Points: 2
    Helpful Answer Positive Rating
Engineer_Bob said:
change write to hwrite in the process

I'm sure that will work, if not let me know and I'll write it out in full

bob

Thanks a lot bob
i had to complie a std_logic_textio package after that it started working.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top