Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to generate a sine wave pulse?

Status
Not open for further replies.

jlee

Member level 2
Joined
Feb 8, 2006
Messages
53
Helped
7
Reputation
14
Reaction score
1
Trophy points
1,288
Activity points
1,884
pulse to sin generate

Hi all,

I want to generate a single sine wave pulse in Cadence's schematic as follows, then simulate in Analog environment's spectre transient analysis. The purpose of the sine wave pulse is to trigger a miller frequency divider.

Can anyone please tell me what components in Cadence schematics can generate this single sine wave pulse? Thank you very much.
 

Hi Sunking, thanks for reply. I mean, how to multiply the vsin with vpulse? I don't have multiplier, nor AND gate. Is there an option to combine the vpulse and vsin?

Thank you very much.
 

I had gen it with Hspice.

Add two sin wave(sin1,sin2) to one node,
sin1,sin2 are current source, they have same frequency f,
sin1=sin(2pai*f*t),start at 0ns
sin2=sin(-2pai*f*t), start at 1/f ns

best regards,
fish
 

    jlee

    Points: 2
    Helpful Answer Positive Rating
jlee said:
Hi Sunking, thanks for reply. I mean, how to multiply the vsin with vpulse? I don't have multiplier, nor AND gate. Is there an option to combine the vpulse and vsin?

Thank you very much.

hello,
i think u can do a simple verilog A block,
Code:
module multiplier (in1,in2,out);
            input in1,in2;
            output out;
            electrical in1,out,in2;
analog begin
             V(out) <+ (V(in1)*V(in2));
end
endmodule
i didnt try it , but i guess it should work OK.
 

you can use a comparator: to an imput you apply a sin and to another a pulse with zero value= 0 and one value = 1.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top