Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

** Fatal: Unexpected signal: 11.

Status
Not open for further replies.

BartlebyScrivener

Member level 5
Joined
Feb 8, 2012
Messages
90
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
2,081
I am using modelsim to compile some systemverilog files. When I click the compile button on the toolbar all is well. But when I type vlog *.sv into the transcript window I get the following error.

HTML:
# Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov  2 2012
# ** Fatal: Unexpected signal: 11.
# ** Error: ENoC_Network.sv(202): Verilog Compiler exiting
# C:/altera/13.1/modelsim_ase/win32aloem/vlog failed.

Where 202 is the end of file.

Yet, if I click compile it works! I am trying to write a script to compile the files so I need to be able to use vlog!

- - - Updated - - -

Also, typing vlog ENoC_Network.sv compiles fine!
 

You should not need a -sv switch if your files already have a .sv extension. If fact, I would discourage using it so that legacy Verilog .v files don't have problems when they have used SystemVerilog keywords as identifiers.

Try

Code:
vlog [glob *.sv]
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top