Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to capture image using c3088 camera module

Status
Not open for further replies.
camera outputs 8-bits of digital data intensity(Y)

for lcd it accepts rgb (24-bits) thus i gave (r =y; g=y; b=y;) to obtain gray scale image
 

I mean the video standard - is it PAL/NTSC? or is it some other format?
Looking at the video, it looks like the syncs are all wrong -hence me asking about video formats.
 

Treating all incoming inputs as clocks is the wrong way to think about FPGA design. You should bring VSYNC and HREF into the same clock domain as PCLK by registering them. You should then detect rising edges by using two flip flops as a shift register and checking that the first flip flop is high and the second is low (indicating a low to high transition).

You will probably also need to be wary of metastability with these inputs.
 

the format is nearly similar to NTSC.
format conversion is not an issue the problem is of synchronization of pclk and href i think
the camera outputs Y(8-bit) while the lcd screen accepts RGB (24-bit)

so 1 thing i did was send Y to all R,G,B to display grayscale
secondly i also tried to convert into black and white by setting a threshold value of 127
but correct image is not formed

the main issue is that pixels are coming out of camera but how to arrange them is the problem
according to the datasheet of ov6620 i implemented the following logic in vhdl

if (cam_pclk'event and cam_pclk='1') then
if (cam_vsync='0' and cam_href='1') then
if (pcnt= 176) then ---- pixel count
pcnt<= 0;
if (lcnt=144) then ---- line count
lcnt <=0;
else
lcnt <= lcnt + 1;
end if;
else
pcnt <= pcnt + 1;
end if;
end if;
end if;

if (lcnt>8 and lcnt <= 136 and pcnt >24 and pcnt<=152) then
cam_o <= cam_y; ---- cam_o is further connected to ram
cam_led(7 downto 0) <= cam_y(7 downto 0); ---- display pixels on 8 led's
end if;


am i doing it right ??
 

No, I still don't think that will work. As I said before, you should use the sync signals to reset your counters.

As it is, your design assumes that the FPGA and sensor are perfectly in sync when they turn on and remain so forever. This isn't a good assumption to make in practice - if the sensor starts transmitting a frame before the FPGA is ready, the frame will be out of sync and remain so forever.
 

yes i got your point and from the reference code of cornell website camlib.c , i build the following code
here i wait for the camera to input second frame(skip 1st two frames) and on second frame i start the line and pixel counter
each time pcnt goes to 176, the line count is incremented by 1.
pls review the following code..

-------------frame count---------------------------------------
framecount: process(rst,cam_vsync)
begin
if (rst='1') then
frame<=0;
elsif (cam_vsync'event and cam_vsync='0') then
if (frame = 145) then
frame <= 0;
else
frame <= frame + 1;
end if;
end if;
end process framecount;
---------------------------------------------------------------
linecount: process(rst,cam_href)
begin
if (rst='1') then
lcnt<=0;
elsif (cam_href'event and cam_href='1') then
if (lcnt = 144) then
lcnt <= 0;
else
lcnt <= lcnt + 1;
end if;
end if;
end process linecount;
---------------------------------------------------------------
capture:process (rst,cam_pclk,cam_vsync,cam_href,cam_y)
begin
if (rst='1') then
cam_o <= (others => '0');
cam_led(7 downto 1) <= (others => '0');
pcnt<=0;
elsif (cam_pclk'event and cam_pclk='1') then
if (frame=2 and cam_href='1') then
if (pcnt= 176) then
pcnt<= 0;
else
pcnt <= pcnt + 1;
end if;
end if;


if (lcnt>8 and lcnt <= 136 and pcnt >24 and pcnt<=152) then
cam_o <= cam_y;
cam_led(7 downto 0) <= cam_y(7 downto 0);
end if;
end if;
end process capture;
----------------------------
 
Last edited:

I don't think this is the best way to go about it - you have three clock domains (cam_vsync: falling edge, cam_href: rising edge, and cam_pclk: rising edge). I would be surprised if you didn't get loads of warnings when trying to synthesise this code.

Just use one clock domain (cam_pclk) with use detectors for cam_vsync and cam_href.
 

The input clock and the output clock need to be the same, unless you have some kind of buffer in the middle. and what is this "href" you're talking about? If the image is malformed, your syncs are all wrong for the screen. You say the format is "nearly similar" to NTSC. Does that mean it is out of spec? by how much? some screens will code better than others with out of spec video. Generally, LCD screens are not very tolerant for stuff out of spec, but old analogue CRT screens might be able to display it.

Have you simulated the design?
 

guys i have synthesized , simulated the design

we can use multiple clocks
earlier i got error in using multiple clocks and was unable use clk'event on i/o pin
but i found how to resolve it
i.e. by declaring the i/o CLOCK_DEDICATED_ROUTE = FALSE; in the ucf file


falling edge of VSYNC gives start of new frame
rising edge of HREF gives start of a new row
rising edge of PCLK gives pixel value



joelby how to use detectors can you tell me??
and the camera is giving me three clocks why not to use them as clk'event??
 
Last edited:

These are NOT 3 clocks - you need to clock the whole circuit with PCLK. Then use HREF and VSYNC as reference signals to allign your internal counters.
 

You are using signal'event in place of a proper edge detection circuit. The CLOCK_DEDICATED_ROUTE workaround will fix synthesis, but it will leave you with clock domain crossing issues. Plus this is very bad design practice. Here's a guide on doing edge detection in VHDL: **broken link removed** .

I can't be bothered looking at your timing diagram at the moment, but you might not even need to do this if you just get your state machine correct. Have a look at how Mike at Hamsterworks has done something similar with an OV7670 camera: **broken link removed** (see ov7670_capture.vhd)

Have you got a tutor or lecturer whom you can ask about this stuff? It seems like there are a few basic FPGA concepts you're missing, which is making a task which should only take you a couple of hours drag out to months.
 
thanks that was helpful
i used edge detector instead of setting clock_dedicated_route=false
and the code synthesized

but still picture is not formed...

i don't find any difference in signal'event and setting clock_dedicated_route=false
or by using edge detector
 

can you post any of this code. THe problem will be in your code, not in fiddling with synthesis settings. The problem looks like the video format is wrong, so you probably have sync/href signals wrong.
 

The difference between the two methods is very important for good FPGA design. When you use the clk'event method, the synthesis tool wants to use the signal to drive the clock input of a flip-flop. The warning occurs because the pin your signal is coming from is not a GCLK (global clock) input. Thus regular routing logic must be used to transfer the signal from the pin to the flip-flop's clock input. There is an optimised path between GCLK, the global clock lines, and flip-flop clock inputs, but if you need to use routing logic to get the clock in the right place, you will introduce additional timing delays, which will be highly dependent on the design. This is fine in some limited circumstances, but when the synchronisation of your external signals (i.e. your data clock, your sync signals, and the data signals) is important (as it is for you - your design won't work if the sync signals are acted upon too late), this is going to cause you lots of headaches and won't work.

You should read up on synchronous design so that you understand why you should try to get all of these inputs into the same clock domain, and to know when and how to move signals between clock domains.
 
thanks
that really explained clearly the two methods


i am posting my full code here you can check here
ask if you don't understand anything
Code:
----------------------------------------------------------------------------------------------------
-------------this is the top module---------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity top is
	 Port ( 
	   rst : in  STD_LOGIC;				
           clk : in  STD_LOGIC;
--------------camera signals----------------------------			  
	   pclk : in  STD_LOGIC;
           href : in  STD_LOGIC;
           vsync : in  STD_LOGIC;
	   scl : inout STD_LOGIC;
	   sda : inout STD_LOGIC;
           yin : in  STD_LOGIC_VECTOR (7 downto 0);
           yout : out  STD_LOGIC_VECTOR (7 downto 0);		--- pixel output at leds
-------------2*16 lcd signals----------------------------			  
	   rs : out std_logic;
	   rw : out std_logic;
           d : out std_logic_vector (7 downto 0);				--- matched pixels output at lcd
  	   e : out std_logic;
-----------------Stepper signals-------------------------
	   step: out std_logic_vector(3 downto 0);
-----------------TFT signals-----------------------------
 	   TP_PENIRQ : in STD_LOGIC;
           TFT_CLK : out STD_LOGIC;
	   TFT_DISP : out STD_LOGIC;
           TFT_DE : out STD_LOGIC;
           TFT_EN : out STD_LOGIC;
	   LED_EN : out STD_LOGIC;							-------  100 Hz to 50 KHz
	   TFT_R : out STD_LOGIC_VECTOR (7 downto 0);
	   TFT_G : out STD_LOGIC_VECTOR (7 downto 0);
	   TFT_B : out STD_LOGIC_VECTOR (7 downto 0)		
	);
end top;

architecture Behavioral of top is
component cam is
    Port ( rst : in  STD_LOGIC;
           clk : in  STD_LOGIC;
	   cam_scl : inout STD_LOGIC;
	   cam_sda : inout STD_LOGIC;
           cam_pclk : in  STD_LOGIC;
	   cam_href : in  STD_LOGIC;
           cam_vsync : in  STD_LOGIC;
           cam_y : in  STD_LOGIC_VECTOR (7 downto 0);
           cam_o,cam_led : out  STD_LOGIC_VECTOR (7 downto 0));
end component;
component compare is
    Port ( rst,clk : in  STD_LOGIC;
           pclk,href,vsync : in  STD_LOGIC;
           c_yi : in  STD_LOGIC_VECTOR (7 downto 0);
	   lcd_en,step_en: out STD_LOGIC;
	   match : out STD_LOGIC_VECTOR (13 downto 0);
           c_yo,s_yo : out  STD_LOGIC_VECTOR (7 downto 0));
end component;
component lcd is
	 port( lcden,clk : in std_logic;
			 din : in std_logic_vector (13 downto 0);
			  rs : out std_logic;
		          rw: out std_logic;
			  d : out std_logic_vector (7 downto 0);
			  e : out std_logic);
end component;
component tft is
    Port ( RST,CLK : in std_logic;
	     TP_PENIRQ : in STD_LOGIC;
             TFT_CLK : out STD_LOGIC;
	     TFT_DISP : out STD_LOGIC;
             TFT_DE : out STD_LOGIC;
             TFT_EN : out STD_LOGIC;
	     LED_EN : out STD_LOGIC;		-------  100 Hz to 50 KHz
	     TFT_CY,TFT_SY : in STD_LOGIC_VECTOR (7 downto 0);           -------- captured(cy) and stored(sy) value
	     TFT_R : out STD_LOGIC_VECTOR (7 downto 0);
             TFT_G : out STD_LOGIC_VECTOR (7 downto 0);
	     TFT_B : out STD_LOGIC_VECTOR (7 downto 0));
end component;
component stepper is
	port (sen: in std_logic;
		clk: in std_logic;
		step: out std_logic_vector(3 downto 0));
end component;
----------------------------------------------------------------------------
signal rst_lcd,rst_step,pclk_d,href_d,vsync_d,p_clk,h_ref,v_sync: std_logic:='0';
signal y,syo,cyo : std_logic_vector (7 downto 0):="00000000";
signal matchedpixels : std_logic_vector (13 downto 0):="00000000000000";
begin
-----------edge detector--------------------------
--------------rising edge detect--
p:process(clk)
begin
	if (clk'event and clk='1') then
		pclk_d <= pclk;
	end if;
end process p;
p_clk <= (not pclk_d) and pclk;
--------------rising edge detect--
h:process(clk)
begin
	if (clk'event and clk='1') then
		href_d <= href;
	end if;
end process h;
h_ref <= (not href_d) and href;
--------------falling edge detect--
v:process(clk)
begin
	if (clk'event and clk='1') then
		vsync_d <= vsync;
	end if;
end process v;
v_sync <= (not vsync) and vsync_d;
---------------------------------------------------
x1: cam port map (rst,clk,scl,sda,p_clk,h_ref,v_sync,yin,y,yout);
x2: compare port map (rst,clk,p_clk,h_ref,v_sync,y,rst_lcd,rst_step,matchedpixels,cyo,syo);
x3: lcd port map (rst_lcd,clk,matchedpixels,rs,rw,d,e);
x4: tft port map (rst,clk,tp_penirq,tft_clk,tft_disp,tft_de,tft_en,led_en,cyo,syo,tft_r,tft_g,tft_b);
x5: stepper port map (rst_step,clk,step);
end Behavioral;
----------------------------------------------------------------------------
----------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
------------------------------------------------------
entity cam is
    Port ( rst : in  STD_LOGIC;
             clk : in  STD_LOGIC;
	   cam_scl : inout STD_LOGIC;
	   cam_sda : inout STD_LOGIC;
           cam_pclk : in  STD_LOGIC;
           cam_href : in  STD_LOGIC;
           cam_vsync : in  STD_LOGIC;
           cam_y : in  STD_LOGIC_VECTOR (7 downto 0);
           cam_o,cam_led : out  STD_LOGIC_VECTOR (7 downto 0));
end cam;
-------------------------------------------------------
architecture cam2 of cam is
	type state_type is(idle,start,frame0,ack0,frame1,ack1,frame2,ack2,frame3,ack3,frame4,ack4,frame5,ack5,stop);
	signal state: state_type;
	signal clki,clks: std_logic:='0';
	signal i,j,k,l,m,n: integer:=23;
	signal count1,frame,lcnt,pcnt: integer:=0;
	signal sda_frame: std_logic_vector(23 downto 0):=(others=>'0');
	signal ack: std_logic;
	signal cled: std_logic:='0';
begin
--------------------------------------------------------
divider_i2c:process(clk,rst)				----	clock to reach center of clki
begin
	if (rst='1') then
		count1 <= 0;
	elsif(clk'event and clk='1') then			--100 Khz
		if (count1 = 499) then
			count1<= 0;
			clki <= not clki;
		else
			count1<= count1 + 1;
		end if;
	end if;
end process divider_i2c;
clks<= clki after 2.5 us;

		cam_scl <=  '1' when state=idle else
				'1' when state = start else
				'1' when state = stop else
				clki;
----------------------------------------------------------
i2c:process(rst,clks,state)
begin
	if (rst = '1') then
		sda_frame <=(others=>'1');
		cam_sda <= '1';
		ack <= '0';
		state <= idle;
	elsif (clks'event and clks='0') then
		if (state= idle) then
			state <= start;
		elsif (state = start) then
			cam_sda <= '0' after 4.5 us;			-- start cond = scl =1 and sda = 1 to 0
				if (cam_sda='0') then
				state<= frame0;
				end if;
		------------------------------		
		elsif (state = frame0) then
			sda_frame <= X"C01280";		-- soft reset
			if (i=0) then
				state <= ack0;
			else 
				cam_sda <=sda_frame(i);
				i<= i-1;
			end if;
		elsif (state=ack0) then
			ack<= cam_sda;
			if (ack='0') then
				state<= frame1;
			end if;
		------------------------------
		elsif (state = frame1) then
			sda_frame <= X"C01420";		-- QCIF frame size (176x144)
			if (j=0) then
				state <= ack1;
			else 
				cam_sda <=sda_frame(j);
				j <= j-1;
			end if;
		elsif (state=ack1) then
			ack<= cam_sda;
			if (ack='0') then
				state<= frame2;
			end if;
		------------------------------
		elsif (state = frame2) then
			sda_frame <= X"C01224";		-- (default setting) AGC enable, YCrCb mode, no AWB
			if (k=0) then
				state <= ack2;
			else 
				cam_sda <=sda_frame(k);
				k <= k-1;
			end if;
		elsif (state=ack2) then
			ack<= cam_sda;
			if (ack='0') then
				state<= frame3;
			end if;
		------------------------------
		elsif (state = frame3) then
			sda_frame <= X"C01301";		-- (default setting) 16 bit format
			if (l=0) then
				state <= ack3;
			else 
				cam_sda <=sda_frame(l);
				l <= l-1;
			end if;
		elsif (state=ack3) then
			ack<= cam_sda;
			if (ack='0') then
				state<= frame4;
			end if;
		---------------------------------------
		elsif (state = frame4) then
			sda_frame <= X"C0111C";		-- reduce fps
			if (m=0) then
				state <= ack4;
			else 
				cam_sda <=sda_frame(m);
				m <= m-1;
			end if;
		elsif (state=ack4) then
			ack<= cam_sda;
			if (ack='0') then
				state<= frame5;
			end if;
		---------------------------------------
		elsif (state = frame5) then
			sda_frame <= X"C02900";		-- set to camera master mode
			if (n=0) then
				state <= ack5;
			else 
				cam_sda <=sda_frame(n);
				n <= n-1;
			end if;
		elsif (state=ack5) then
			ack<= cam_sda;
			if (ack='0') then
				state<= stop;
			end if;
		---------------------------------------
		elsif (state= stop) then
			cam_sda <= '1' after 2.5 us;
		end if;
	end if;
end process i2c;
----------------------------------------------------1 hz clock divider to check pixel clock from camera on led
clk1hz:process (rst,cam_pclk)
variable cnt: integer:=0;
begin
	if (rst='1') then
			cnt := 0;
			cam_led(0) <= '0';
	elsif (cam_pclk'event and cam_pclk='1') then
					if (cnt = 8864999) then	-- 17.73 Mhz				--- 1 hz clk
						cnt := 0;
						cled<= not cled;
						cam_led(0) <= cled;
					else cnt := cnt + 1;
					end if;
	end if;
end process clk1hz;
-------------frame count---------------------------------------
framecount: process(rst,cam_vsync)
begin
	if (rst='1')	then
		frame<=0;
	elsif (cam_vsync'event and cam_vsync='1') then
		if (frame = 7) then
			frame <= 0;
		else
			frame <= frame + 1;
		end if;
	end if;
end process framecount;
----------------line count---------------------------------------
linecount: process(rst,cam_href)
begin
	if (rst='1') then
		lcnt<=0;
	elsif (cam_href'event and cam_href='1') then
		if (lcnt = 144) then
			lcnt <= 0;
		else
			lcnt <= lcnt + 1;
		end if;
	end if;
end process linecount;
---------------------------------------------------------------
capture:process (rst,cam_pclk,cam_vsync,cam_href,cam_y)
begin
	if (rst='1') then
			cam_o <= (others => '0');
			cam_led(7 downto 1) <= (others => '0');
			pcnt<=0;
	elsif (cam_pclk'event and cam_pclk='1') then
			if (frame=1 and cam_href='1') then
				if (pcnt= 176) then
					pcnt<= 0;
				else
					pcnt <= pcnt + 1;
				end if;
			end if;
			
			if (lcnt>8 and lcnt <= 136 and pcnt >24 and pcnt<=152) then
				cam_o <= cam_y;
				cam_led(7 downto 1) <= cam_y(7 downto 1);
			end if;
	end if;
end process capture;
----------------------------
end cam2;

--------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-------------------------------------
entity compare is
    Port ( rst,clk : in  STD_LOGIC;
           pclk,href,vsync : in  STD_LOGIC;
           c_yi : in  STD_LOGIC_VECTOR (7 downto 0);
	   lcd_en,step_en: out STD_LOGIC;
	   match: out  STD_LOGIC_VECTOR (13 downto 0);
           c_yo,s_yo : out  STD_LOGIC_VECTOR (7 downto 0));
end compare;
-------------------------------------
architecture compare2 of compare is
type rom_type is array (16383 downto 0) of std_logic_vector (7 downto 0);  		-- prestored image pixel data
signal rom :rom_type:=(

--1
  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"93",  X"96",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",
  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"95",  X"95",  X"95",  X"95",  X"93",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",
  X"92",  X"93",  X"93",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"94",  X"93",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"93",  X"92",  X"92",  X"91",  X"91",  X"91",  X"90",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"90",  X"90",  X"91",
--2
  X"97",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"95",  X"96",  X"94",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"96",
  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"95",  X"96",  X"95",  X"95",  X"95",  X"95",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"92",  X"93",  X"92",  X"92",  X"92",  X"91",  X"92",  X"92",  X"92",  X"92",  X"96",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"90",  X"90",  X"8F",  X"8F",  X"90",
--3
  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"98",  X"96",  X"96",  X"96",  X"96",  X"95",  X"94",  X"95",  X"96",  X"96",  X"96",
  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"95",  X"95",  X"95",  X"94",  X"94",  X"94",  X"93",  X"92",  X"92",  X"93",  X"94",  X"93",  X"94",
  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"98",  X"93",  X"92",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"93",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"8F",
--4
  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"98",  X"96",  X"96",  X"95",  X"96",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",
  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"96",  X"95",  X"95",  X"96",  X"95",  X"95",  X"95",  X"94",  X"94",  X"93",  X"93",  X"91",  X"90",  X"90",  X"90",  X"8A",  X"8C",
  X"90",  X"90",  X"91",  X"91",  X"93",  X"93",  X"92",  X"92",  X"92",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"91",  X"92",  X"92",  X"93",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"91",  X"8F",  X"90",  X"90",  X"90",  X"90",  X"90",  X"91",  X"91",  X"90",  X"90",  X"8F",
--5
  X"95",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"98",  X"96",  X"96",  X"96",  X"97",  X"96",  X"94",  X"96",  X"96",  X"96",  X"96",
  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"95",  X"8C",  X"8B",  X"88",  X"87",  X"87",  X"88",  X"8C",  X"8A",  X"8E",
  X"8E",  X"8E",  X"8F",  X"8F",  X"90",  X"92",  X"92",  X"92",  X"92",  X"94",  X"91",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"91",  X"91",  X"92",  X"93",  X"94",  X"95",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"8F",  X"92",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"90",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"90",  X"8F",
--6
  X"95",  X"95",  X"95",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"97",  X"97",  X"95",
  X"95",  X"96",  X"97",  X"93",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"97",  X"96",  X"96",  X"90",  X"92",  X"86",  X"80",  X"84",  X"86",  X"81",  X"87",  X"87",  X"8A",  X"8C",  X"8E",
  X"8E",  X"8C",  X"8E",  X"8D",  X"88",  X"89",  X"8D",  X"90",  X"91",  X"90",  X"90",  X"92",  X"92",  X"8C",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"94",  X"95",  X"94",  X"94",  X"93",  X"91",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"92",  X"93",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"8F",
--7
  X"94",  X"95",  X"95",  X"95",  X"97",  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"96",  X"97",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"94",  X"9E",  X"94",  X"96",  X"96",
  X"96",  X"97",  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"96",  X"96",  X"98",  X"98",  X"95",  X"89",  X"87",  X"82",  X"82",  X"83",  X"86",  X"8C",  X"8A",  X"8D",  X"8D",  X"8D",  X"8D",  X"8C",
  X"8D",  X"8D",  X"8D",  X"8C",  X"87",  X"88",  X"8A",  X"8C",  X"8C",  X"89",  X"8B",  X"8D",  X"8D",  X"8C",  X"90",  X"92",  X"92",  X"93",  X"93",  X"92",  X"93",  X"94",  X"95",  X"94",  X"94",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"90",  X"92",  X"93",  X"93",  X"93",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"93",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"92",  X"92",  X"92",  X"91",  X"92",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"8F",
--8
  X"95",  X"96",  X"95",  X"95",  X"95",  X"96",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"94",  X"9F",  X"8F",  X"95",  X"96",
  X"97",  X"97",  X"99",  X"97",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"93",  X"91",  X"8A",  X"87",  X"86",  X"7E",  X"7E",  X"7F",  X"88",  X"8E",  X"91",  X"91",  X"91",  X"92",  X"90",  X"8D",  X"8F",  X"8D",
  X"87",  X"86",  X"89",  X"8D",  X"8D",  X"8C",  X"8A",  X"8B",  X"8B",  X"8A",  X"89",  X"8C",  X"8F",  X"8A",  X"84",  X"8B",  X"8C",  X"90",  X"92",  X"92",  X"93",  X"94",  X"95",  X"94",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"94",  X"92",  X"93",  X"93",  X"93",  X"94",  X"94",  X"93",  X"94",  X"93",  X"92",  X"91",  X"92",  X"92",  X"92",  X"92",  X"93",  X"95",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"8F",
--9
  X"94",  X"94",  X"94",  X"94",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"96",  X"96",  X"96",
  X"97",  X"97",  X"98",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"95",  X"94",  X"8F",  X"92",  X"94",  X"93",  X"91",  X"8C",  X"8B",  X"8F",  X"92",  X"95",  X"96",  X"95",  X"96",  X"94",  X"8D",  X"8D",  X"8B",
  X"85",  X"81",  X"7F",  X"8D",  X"91",  X"91",  X"91",  X"91",  X"8F",  X"8D",  X"8D",  X"8D",  X"91",  X"91",  X"8B",  X"8E",  X"8F",  X"91",  X"90",  X"91",  X"92",  X"92",  X"91",  X"8C",  X"8B",  X"8A",  X"91",  X"93",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"94",  X"95",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"91",  X"91",  X"91",  X"90",  X"8F",  X"90",
--10
  X"94",  X"94",  X"94",  X"94",  X"95",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"96",  X"97",  X"97",
  X"97",  X"97",  X"97",  X"96",  X"96",  X"95",  X"96",  X"96",  X"96",  X"96",  X"95",  X"90",  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"95",  X"96",  X"96",  X"95",  X"95",  X"96",  X"97",  X"96",  X"93",  X"8E",  X"8C",  X"87",
  X"86",  X"86",  X"8B",  X"90",  X"92",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"91",  X"93",  X"93",  X"94",  X"94",  X"93",  X"93",  X"93",  X"92",  X"93",  X"94",  X"94",  X"92",  X"90",  X"8E",  X"8C",  X"92",  X"93",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"90",
--11
  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"95",  X"97",  X"96",  X"98",  X"97",  X"96",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"95",  X"95",  X"96",  X"96",  X"96",  X"97",  X"97",  X"98",  X"97",
  X"97",  X"97",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"93",  X"91",  X"98",  X"9A",  X"9A",  X"9C",  X"9C",  X"9B",  X"9A",  X"9A",  X"98",  X"98",  X"97",  X"97",  X"96",  X"95",  X"96",  X"96",  X"95",  X"91",  X"8F",  X"85",  X"87",  X"89",
  X"91",  X"95",  X"93",  X"94",  X"94",  X"94",  X"93",  X"94",  X"93",  X"94",  X"93",  X"94",  X"92",  X"95",  X"95",  X"96",  X"96",  X"98",  X"99",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"97",  X"95",  X"94",  X"94",  X"92",  X"93",  X"93",  X"93",
  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"93",  X"94",  X"92",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",
--12
  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"95",  X"96",  X"95",  X"96",  X"94",  X"96",  X"96",  X"97",  X"97",  X"97",  X"96",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"98",  X"98",  X"97",
  X"97",  X"97",  X"96",  X"95",  X"96",  X"95",  X"96",  X"94",  X"93",  X"96",  X"9C",  X"9E",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9C",  X"9A",  X"98",  X"96",  X"9A",  X"94",  X"95",  X"96",  X"92",  X"92",  X"85",  X"8A",  X"8B",  X"8F",  X"92",
  X"97",  X"96",  X"98",  X"98",  X"96",  X"95",  X"95",  X"95",  X"95",  X"94",  X"94",  X"94",  X"95",  X"95",  X"95",  X"96",  X"96",  X"9B",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9F",  X"9F",  X"9D",  X"99",  X"96",  X"92",  X"94",  X"93",  X"93",
  X"93",  X"94",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"91",  X"91",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"93",  X"90",  X"91",  X"91",  X"91",  X"91",  X"90",
--13
  X"94",  X"94",  X"95",  X"95",  X"94",  X"94",  X"94",  X"94",  X"95",  X"96",  X"94",  X"96",  X"96",  X"97",  X"97",  X"96",  X"96",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"97",  X"97",  X"97",
  X"97",  X"97",  X"97",  X"96",  X"93",  X"8F",  X"94",  X"98",  X"9A",  X"9A",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9C",  X"9B",  X"9A",  X"99",  X"9E",  X"93",  X"96",  X"95",  X"90",  X"8B",  X"85",  X"8E",  X"91",  X"98",  X"99",
  X"99",  X"99",  X"9A",  X"99",  X"98",  X"97",  X"97",  X"96",  X"96",  X"96",  X"95",  X"95",  X"96",  X"95",  X"96",  X"97",  X"99",  X"9C",  X"9D",  X"9E",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",  X"9B",  X"93",  X"8F",  X"8D",  X"87",
  X"8A",  X"91",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"92",  X"92",  X"92",  X"93",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"93",  X"93",  X"8F",  X"91",  X"91",  X"91",  X"92",  X"90",
--14
  X"92",  X"91",  X"92",  X"92",  X"91",  X"93",  X"93",  X"90",  X"94",  X"95",  X"94",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"97",  X"96",  X"96",
  X"96",  X"96",  X"95",  X"95",  X"90",  X"8E",  X"94",  X"98",  X"9A",  X"9B",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9B",  X"9A",  X"98",  X"97",  X"95",  X"93",  X"90",  X"89",  X"8C",  X"93",  X"94",  X"96",  X"9A",  X"9A",
  X"99",  X"99",  X"98",  X"99",  X"99",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"96",  X"96",  X"95",  X"97",  X"99",  X"9C",  X"9D",  X"9E",  X"9E",  X"A0",  X"A0",  X"A0",  X"9F",  X"A0",  X"A1",  X"A1",  X"9F",  X"97",  X"8C",  X"80",  X"84",
  X"8A",  X"8E",  X"91",  X"93",  X"94",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"92",  X"91",  X"92",  X"92",  X"92",  X"92",  X"91",
--15
  X"92",  X"92",  X"92",  X"93",  X"92",  X"93",  X"94",  X"8F",  X"94",  X"95",  X"94",  X"96",  X"95",  X"96",  X"95",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",
  X"96",  X"96",  X"96",  X"93",  X"8E",  X"8F",  X"93",  X"98",  X"9A",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9F",  X"9F",  X"9E",  X"9D",  X"9D",  X"9A",  X"97",  X"97",  X"97",  X"96",  X"8B",  X"87",  X"90",  X"95",  X"99",  X"99",  X"9B",  X"9C",
  X"9B",  X"99",  X"99",  X"99",  X"99",  X"98",  X"98",  X"97",  X"96",  X"97",  X"97",  X"96",  X"96",  X"95",  X"98",  X"9A",  X"9C",  X"9D",  X"9F",  X"9E",  X"9F",  X"A0",  X"A0",  X"A0",  X"A0",  X"A2",  X"A1",  X"A0",  X"9D",  X"93",  X"8A",  X"88",
  X"8D",  X"8D",  X"8E",  X"91",  X"92",  X"93",  X"94",  X"93",  X"93",  X"93",  X"94",  X"93",  X"94",  X"93",  X"93",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"92",  X"92",  X"93",  X"92",  X"92",  X"92",  X"93",  X"92",
--16
  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"93",  X"94",  X"95",  X"91",  X"95",  X"96",  X"95",  X"95",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"94",  X"94",  X"95",
  X"94",  X"94",  X"8F",  X"85",  X"8A",  X"91",  X"93",  X"97",  X"9B",  X"9B",  X"9D",  X"9E",  X"9E",  X"9E",  X"9F",  X"A5",  X"9D",  X"9F",  X"9B",  X"99",  X"98",  X"97",  X"97",  X"96",  X"89",  X"8B",  X"8F",  X"96",  X"98",  X"99",  X"9A",  X"9B",
  X"9A",  X"99",  X"99",  X"99",  X"98",  X"97",  X"97",  X"97",  X"97",  X"98",  X"97",  X"96",  X"96",  X"96",  X"98",  X"99",  X"9C",  X"9C",  X"9D",  X"9E",  X"9F",  X"A0",  X"A0",  X"A1",  X"A0",  X"A0",  X"A1",  X"A2",  X"9F",  X"9A",  X"92",  X"90",
  X"92",  X"93",  X"92",  X"91",  X"93",  X"92",  X"91",  X"92",  X"93",  X"92",  X"94",  X"93",  X"94",  X"93",  X"93",  X"94",  X"95",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
--17
  X"91",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"92",  X"93",  X"94",  X"95",  X"95",  X"95",  X"95",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"93",  X"94",  X"94",
  X"94",  X"8D",  X"85",  X"86",  X"8F",  X"91",  X"93",  X"96",  X"97",  X"99",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9B",  X"98",  X"95",  X"96",  X"93",  X"8D",  X"88",  X"8B",  X"8C",  X"92",  X"95",  X"93",  X"96",  X"99",
  X"99",  X"99",  X"9B",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"98",  X"97",  X"96",  X"96",  X"96",  X"98",  X"99",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9F",  X"A1",  X"A1",  X"A1",  X"A2",  X"A0",  X"A3",  X"A0",  X"9E",  X"9A",  X"97",
  X"96",  X"98",  X"9A",  X"97",  X"93",  X"92",  X"91",  X"8F",  X"92",  X"92",  X"93",  X"93",  X"93",  X"94",  X"94",  X"95",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
--18
  X"91",  X"91",  X"91",  X"91",  X"91",  X"8E",  X"8F",  X"91",  X"92",  X"93",  X"92",  X"92",  X"92",  X"91",  X"92",  X"93",  X"94",  X"96",  X"95",  X"95",  X"95",  X"96",  X"95",  X"95",  X"95",  X"95",  X"94",  X"94",  X"92",  X"92",  X"91",  X"92",
  X"8B",  X"86",  X"8C",  X"8C",  X"8F",  X"92",  X"92",  X"94",  X"97",  X"98",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"A0",  X"9D",  X"A0",  X"9B",  X"98",  X"94",  X"91",  X"8E",  X"8A",  X"8A",  X"8D",  X"8E",  X"8F",  X"92",  X"92",  X"98",  X"97",
  X"9A",  X"98",  X"99",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"97",  X"96",  X"96",  X"97",  X"98",  X"99",  X"9C",  X"9C",  X"9D",  X"9D",  X"9E",  X"9F",  X"9F",  X"9F",  X"A0",  X"A1",  X"A2",  X"A2",  X"A0",  X"9E",  X"9B",  X"97",
  X"96",  X"98",  X"9C",  X"9B",  X"9C",  X"9A",  X"94",  X"93",  X"8F",  X"8A",  X"8D",  X"8F",  X"90",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",
--19
  X"91",  X"91",  X"91",  X"91",  X"91",  X"8E",  X"8E",  X"91",  X"94",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"91",  X"92",  X"8E",
  X"88",  X"8B",  X"8C",  X"8C",  X"8E",  X"90",  X"92",  X"94",  X"97",  X"99",  X"99",  X"9C",  X"9D",  X"9D",  X"9E",  X"9F",  X"9E",  X"9F",  X"9A",  X"98",  X"94",  X"90",  X"8F",  X"8C",  X"8B",  X"8C",  X"8E",  X"90",  X"91",  X"93",  X"97",  X"97",
  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"98",  X"97",  X"97",  X"97",  X"96",  X"96",  X"96",  X"97",  X"9A",  X"9C",  X"9C",  X"9D",  X"9D",  X"9E",  X"9F",  X"9F",  X"A0",  X"A0",  X"A1",  X"A1",  X"A1",  X"A0",  X"9E",  X"9C",  X"99",
  X"94",  X"98",  X"9A",  X"9B",  X"9E",  X"9D",  X"97",  X"96",  X"95",  X"91",  X"90",  X"8E",  X"8D",  X"91",  X"92",  X"95",  X"94",  X"94",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",
--20
  X"90",  X"90",  X"90",  X"90",  X"90",  X"8E",  X"90",  X"90",  X"90",  X"95",  X"91",  X"92",  X"92",  X"92",  X"93",  X"92",  X"92",  X"94",  X"93",  X"93",  X"93",  X"94",  X"93",  X"96",  X"95",  X"94",  X"95",  X"97",  X"92",  X"91",  X"8F",  X"89",
  X"85",  X"8A",  X"8C",  X"8C",  X"8E",  X"90",  X"92",  X"93",  X"97",  X"98",  X"99",  X"9C",  X"9C",  X"9C",  X"9D",  X"A0",  X"9D",  X"9E",  X"9A",  X"98",  X"93",  X"8F",  X"8C",  X"8C",  X"8B",  X"8D",  X"8E",  X"8F",  X"90",  X"93",  X"96",  X"95",
  X"96",  X"97",  X"97",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",  X"95",  X"95",  X"96",  X"9B",  X"9B",  X"9C",  X"9C",  X"9D",  X"9D",  X"9E",  X"9F",  X"9E",  X"A0",  X"A0",  X"A0",  X"9F",  X"9F",  X"9E",  X"9C",
  X"97",  X"95",  X"99",  X"99",  X"9D",  X"9E",  X"9B",  X"9B",  X"9B",  X"98",  X"98",  X"94",  X"90",  X"8E",  X"8F",  X"94",  X"95",  X"94",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",
--21
  X"90",  X"91",  X"91",  X"90",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"94",  X"92",  X"90",  X"90",  X"91",  X"92",  X"91",  X"91",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"93",  X"93",  X"94",  X"94",  X"92",  X"8F",  X"90",  X"8A",
  X"89",  X"8B",  X"8C",  X"8C",  X"8E",  X"90",  X"91",  X"92",  X"95",  X"98",  X"99",  X"9C",  X"9C",  X"9B",  X"9C",  X"9D",  X"9A",  X"9A",  X"98",  X"95",  X"90",  X"8D",  X"8A",  X"8C",  X"8C",  X"8B",  X"8C",  X"8E",  X"8F",  X"8F",  X"93",  X"94",
  X"94",  X"95",  X"95",  X"94",  X"94",  X"94",  X"95",  X"95",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"94",  X"9B",  X"9A",  X"9B",  X"9C",  X"9C",  X"9D",  X"9D",  X"9E",  X"9E",  X"9F",  X"9F",  X"A0",  X"9F",  X"9F",  X"9E",  X"9D",
  X"9D",  X"92",  X"90",  X"99",  X"9B",  X"9C",  X"9C",  X"9C",  X"9A",  X"9A",  X"9A",  X"98",  X"95",  X"94",  X"92",  X"8E",  X"95",  X"94",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"94",  X"93",
--22
  X"91",  X"91",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"91",  X"92",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"94",  X"93",  X"91",  X"91",  X"8F",  X"8B",
  X"8A",  X"8D",  X"8C",  X"8C",  X"8D",  X"8E",  X"90",  X"8F",  X"93",  X"94",  X"97",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"98",  X"9A",  X"93",  X"90",  X"8C",  X"8B",  X"8A",  X"8B",  X"8A",  X"8A",  X"8B",  X"8C",  X"8C",  X"8C",  X"8E",  X"91",
  X"95",  X"91",  X"94",  X"94",  X"94",  X"94",  X"93",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"91",  X"92",  X"91",  X"97",  X"98",  X"9B",  X"9B",  X"9C",  X"9B",  X"9D",  X"9D",  X"9E",  X"9E",  X"9F",  X"A0",  X"9F",  X"9F",  X"9E",  X"9D",
  X"9D",  X"8F",  X"91",  X"99",  X"9B",  X"9B",  X"9C",  X"9C",  X"9B",  X"9B",  X"9A",  X"9A",  X"99",  X"97",  X"97",  X"92",  X"95",  X"93",  X"95",  X"94",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",
--23
  X"91",  X"92",  X"91",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"91",  X"92",  X"93",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"94",  X"93",  X"91",  X"92",  X"8E",  X"87",
  X"8D",  X"8B",  X"8B",  X"8C",  X"8B",  X"8B",  X"8B",  X"82",  X"85",  X"8B",  X"8D",  X"93",  X"92",  X"93",  X"97",  X"95",  X"96",  X"97",  X"90",  X"8D",  X"86",  X"84",  X"85",  X"88",  X"88",  X"89",  X"8A",  X"89",  X"88",  X"89",  X"89",  X"89",
  X"8F",  X"8E",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"94",  X"90",  X"94",  X"96",  X"95",  X"99",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9E",  X"9F",  X"9F",  X"9F",  X"9E",  X"9D",
  X"9B",  X"98",  X"9A",  X"9F",  X"9E",  X"9D",  X"9C",  X"9D",  X"9C",  X"9B",  X"9B",  X"9A",  X"9A",  X"99",  X"99",  X"98",  X"91",  X"93",  X"93",  X"94",  X"93",  X"93",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",
--24
  X"92",  X"92",  X"91",  X"90",  X"90",  X"8F",  X"8F",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"90",  X"91",  X"93",  X"92",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"94",  X"93",  X"92",  X"92",  X"8A",  X"86",
  X"89",  X"89",  X"8A",  X"8A",  X"83",  X"84",  X"86",  X"80",  X"85",  X"8C",  X"8D",  X"8E",  X"8F",  X"8D",  X"8E",  X"8E",  X"89",  X"89",  X"8C",  X"88",  X"7D",  X"7C",  X"7F",  X"86",  X"87",  X"86",  X"87",  X"87",  X"88",  X"87",  X"87",  X"89",
  X"86",  X"8B",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"90",  X"92",  X"92",  X"93",  X"95",  X"94",  X"96",  X"96",  X"98",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",
  X"9E",  X"9B",  X"97",  X"A0",  X"9F",  X"A1",  X"A0",  X"A1",  X"9D",  X"9B",  X"9B",  X"9B",  X"9A",  X"9B",  X"99",  X"9A",  X"96",  X"95",  X"92",  X"94",  X"93",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",
--25
  X"92",  X"92",  X"91",  X"90",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"90",  X"91",  X"91",  X"91",  X"91",  X"90",  X"91",  X"92",  X"92",  X"92",  X"93",  X"94",  X"93",  X"93",  X"93",  X"92",  X"93",  X"93",  X"93",  X"92",  X"90",  X"89",  X"83",
  X"84",  X"86",  X"83",  X"83",  X"80",  X"85",  X"8C",  X"90",  X"92",  X"95",  X"96",  X"95",  X"93",  X"8F",  X"8B",  X"8F",  X"8F",  X"8D",  X"8A",  X"8A",  X"7D",  X"7C",  X"7D",  X"86",  X"84",  X"84",  X"85",  X"85",  X"85",  X"85",  X"85",  X"84",
  X"7F",  X"85",  X"8E",  X"8D",  X"8D",  X"8D",  X"8D",  X"8D",  X"8D",  X"8D",  X"8C",  X"8E",  X"8E",  X"93",  X"8B",  X"8E",  X"92",  X"94",  X"95",  X"94",  X"96",  X"9A",  X"9A",  X"9A",  X"99",  X"9B",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9D",  X"99",  X"92",  X"98",  X"9F",  X"A2",  X"A2",  X"A5",  X"A3",  X"9E",  X"9F",  X"9D",  X"9C",  X"9B",  X"9B",  X"9A",  X"98",  X"96",  X"94",  X"93",  X"93",  X"94",  X"95",  X"94",  X"94",  X"94",  X"94",  X"95",  X"93",  X"95",  X"93",  X"92",
--26
  X"92",  X"92",  X"91",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"91",  X"90",  X"93",  X"92",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"94",  X"91",  X"92",  X"87",  X"83",
  X"84",  X"81",  X"7D",  X"82",  X"8E",  X"94",  X"95",  X"95",  X"97",  X"9A",  X"9C",  X"99",  X"9A",  X"99",  X"97",  X"97",  X"98",  X"93",  X"90",  X"8D",  X"85",  X"81",  X"7E",  X"84",  X"82",  X"83",  X"82",  X"7F",  X"7F",  X"81",  X"83",  X"7D",
  X"7C",  X"81",  X"8A",  X"8B",  X"8A",  X"8D",  X"8B",  X"8B",  X"8B",  X"8B",  X"8B",  X"8D",  X"8D",  X"8E",  X"8E",  X"90",  X"8F",  X"90",  X"91",  X"92",  X"94",  X"98",  X"97",  X"98",  X"9A",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",
  X"9D",  X"97",  X"91",  X"94",  X"9F",  X"A3",  X"A4",  X"A5",  X"A3",  X"A0",  X"A0",  X"9F",  X"9E",  X"9D",  X"9C",  X"9B",  X"9A",  X"98",  X"97",  X"94",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"94",  X"94",  X"97",  X"94",  X"93",
--27
  X"92",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"90",  X"91",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"92",  X"93",  X"90",  X"92",  X"92",  X"91",  X"92",  X"92",  X"93",  X"94",  X"95",  X"95",  X"92",  X"91",  X"86",  X"84",
  X"84",  X"84",  X"83",  X"8B",  X"94",  X"96",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9B",  X"9B",  X"98",  X"98",  X"97",  X"96",  X"93",  X"8C",  X"85",  X"82",  X"83",  X"83",  X"83",  X"83",  X"7F",  X"7B",  X"7A",  X"7B",  X"80",  X"7F",
  X"7C",  X"7F",  X"88",  X"7E",  X"89",  X"89",  X"8A",  X"88",  X"89",  X"88",  X"89",  X"8B",  X"8E",  X"8D",  X"8A",  X"8E",  X"8F",  X"8F",  X"8F",  X"8F",  X"91",  X"92",  X"93",  X"95",  X"97",  X"9A",  X"9A",  X"9A",  X"9C",  X"9D",  X"9D",  X"9C",
  X"9B",  X"95",  X"91",  X"93",  X"9E",  X"A3",  X"A6",  X"A6",  X"A3",  X"A1",  X"A2",  X"A1",  X"A1",  X"A0",  X"9B",  X"9C",  X"9A",  X"9A",  X"98",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"95",  X"94",  X"94",  X"94",  X"94",
--28
  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"90",  X"91",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"90",  X"91",  X"91",  X"90",  X"91",  X"92",  X"92",  X"92",  X"8F",  X"7F",  X"83",
  X"85",  X"8B",  X"8F",  X"94",  X"96",  X"98",  X"99",  X"98",  X"99",  X"9B",  X"9C",  X"9A",  X"9A",  X"9B",  X"98",  X"97",  X"97",  X"97",  X"93",  X"8A",  X"86",  X"82",  X"84",  X"83",  X"84",  X"84",  X"81",  X"7E",  X"7E",  X"82",  X"84",  X"84",
  X"85",  X"84",  X"85",  X"7F",  X"8B",  X"8C",  X"86",  X"83",  X"85",  X"7E",  X"83",  X"88",  X"86",  X"89",  X"88",  X"8B",  X"8A",  X"8B",  X"8D",  X"8E",  X"8E",  X"8F",  X"92",  X"94",  X"95",  X"97",  X"97",  X"99",  X"9C",  X"9E",  X"9D",  X"9B",
  X"9B",  X"97",  X"96",  X"96",  X"9F",  X"A2",  X"A4",  X"A6",  X"A3",  X"A2",  X"A2",  X"A2",  X"A2",  X"A1",  X"99",  X"9B",  X"99",  X"9A",  X"99",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"96",  X"94",  X"95",  X"94",
--29
  X"90",  X"92",  X"91",  X"91",  X"91",  X"91",  X"92",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"93",  X"8B",  X"7E",  X"7B",
  X"88",  X"92",  X"95",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"99",  X"99",  X"9B",  X"9C",  X"9C",  X"99",  X"99",  X"97",  X"99",  X"8F",  X"8B",  X"85",  X"82",  X"83",  X"83",  X"83",  X"83",  X"83",  X"83",  X"81",  X"82",  X"86",  X"8A",
  X"8A",  X"88",  X"86",  X"84",  X"84",  X"84",  X"83",  X"81",  X"82",  X"81",  X"82",  X"82",  X"81",  X"83",  X"86",  X"86",  X"83",  X"83",  X"89",  X"8D",  X"8C",  X"8C",  X"91",  X"90",  X"90",  X"95",  X"96",  X"99",  X"9B",  X"9C",  X"9B",  X"9B",
  X"9B",  X"98",  X"98",  X"98",  X"9E",  X"A1",  X"A2",  X"A2",  X"A2",  X"A3",  X"A2",  X"A5",  X"A6",  X"A2",  X"9E",  X"9D",  X"9B",  X"9E",  X"9E",  X"97",  X"96",  X"95",  X"95",  X"95",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"94",
--30
  X"92",  X"92",  X"92",  X"91",  X"92",  X"91",  X"92",  X"92",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"91",  X"8E",  X"7E",  X"82",
  X"91",  X"97",  X"97",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9B",  X"9D",  X"9C",  X"99",  X"98",  X"97",  X"9A",  X"8F",  X"8B",  X"85",  X"83",  X"83",  X"84",  X"84",  X"83",  X"84",  X"81",  X"7E",  X"81",  X"87",  X"89",
  X"88",  X"86",  X"85",  X"84",  X"81",  X"82",  X"83",  X"82",  X"82",  X"82",  X"83",  X"83",  X"83",  X"83",  X"83",  X"86",  X"85",  X"82",  X"83",  X"85",  X"8A",  X"8B",  X"8E",  X"8E",  X"8F",  X"93",  X"96",  X"99",  X"98",  X"98",  X"9A",  X"9C",
  X"9C",  X"98",  X"97",  X"96",  X"9D",  X"A1",  X"A1",  X"A1",  X"A2",  X"A4",  X"A3",  X"A3",  X"A6",  X"A2",  X"A1",  X"9C",  X"9B",  X"9D",  X"9E",  X"9B",  X"97",  X"95",  X"95",  X"95",  X"95",  X"95",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",
--31
  X"92",  X"93",  X"92",  X"92",  X"93",  X"91",  X"93",  X"93",  X"92",  X"92",  X"93",  X"92",  X"92",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"91",  X"91",  X"87",  X"8D",
  X"95",  X"98",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"9B",  X"99",  X"99",  X"98",  X"96",  X"95",  X"92",  X"8E",  X"8A",  X"85",  X"83",  X"82",  X"85",  X"87",  X"85",  X"83",  X"82",  X"7F",  X"81",  X"84",  X"84",
  X"84",  X"83",  X"83",  X"84",  X"82",  X"82",  X"81",  X"82",  X"82",  X"82",  X"82",  X"83",  X"83",  X"83",  X"85",  X"89",  X"86",  X"80",  X"82",  X"81",  X"87",  X"8A",  X"8D",  X"8D",  X"8F",  X"92",  X"94",  X"97",  X"97",  X"97",  X"99",  X"9D",
  X"99",  X"96",  X"96",  X"97",  X"9D",  X"A1",  X"A0",  X"A0",  X"A2",  X"A5",  X"A1",  X"A3",  X"A3",  X"A4",  X"A0",  X"98",  X"9A",  X"9C",  X"9C",  X"9C",  X"99",  X"96",  X"97",  X"96",  X"95",  X"96",  X"96",  X"97",  X"96",  X"95",  X"95",  X"95",
--32
  X"93",  X"92",  X"92",  X"93",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"93",  X"92",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"93",  X"91",  X"93",  X"91",  X"8D",  X"90",  X"95",
  X"98",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"9A",  X"98",  X"98",  X"96",  X"96",  X"95",  X"8F",  X"8C",  X"87",  X"84",  X"82",  X"82",  X"82",  X"83",  X"84",  X"81",  X"82",  X"82",  X"76",  X"86",  X"8A",
  X"88",  X"84",  X"84",  X"84",  X"80",  X"80",  X"7E",  X"80",  X"7F",  X"7C",  X"7C",  X"7B",  X"7F",  X"81",  X"83",  X"85",  X"85",  X"85",  X"84",  X"83",  X"82",  X"83",  X"89",  X"8D",  X"8E",  X"91",  X"91",  X"94",  X"95",  X"97",  X"99",  X"9B",
  X"9A",  X"96",  X"96",  X"97",  X"9D",  X"9F",  X"A0",  X"A0",  X"A1",  X"A3",  X"A4",  X"A3",  X"A3",  X"A3",  X"A0",  X"9A",  X"9A",  X"9A",  X"9C",  X"9E",  X"98",  X"97",  X"97",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"94",  X"95",  X"95",
--33
  X"93",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"94",  X"93",  X"91",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"90",  X"91",  X"91",  X"90",  X"95",  X"97",
  X"98",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"98",  X"98",  X"98",  X"99",  X"98",  X"94",  X"94",  X"91",  X"8D",  X"8A",  X"86",  X"82",  X"81",  X"81",  X"81",  X"81",  X"82",  X"81",  X"82",  X"87",  X"6F",  X"88",  X"88",
  X"86",  X"84",  X"81",  X"7D",  X"7C",  X"7E",  X"7B",  X"79",  X"7B",  X"7B",  X"78",  X"79",  X"7C",  X"7E",  X"83",  X"85",  X"85",  X"88",  X"88",  X"84",  X"7F",  X"82",  X"89",  X"8B",  X"8E",  X"90",  X"90",  X"95",  X"95",  X"96",  X"97",  X"97",
  X"97",  X"94",  X"93",  X"96",  X"9E",  X"9F",  X"9F",  X"9F",  X"A0",  X"A2",  X"A2",  X"A3",  X"A4",  X"A2",  X"9F",  X"9C",  X"9A",  X"9C",  X"9B",  X"9A",  X"99",  X"97",  X"97",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",
--34
  X"92",  X"91",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"8F",  X"92",  X"91",  X"92",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"8C",  X"8C",  X"92",  X"98",  X"9A",
  X"9C",  X"9B",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"98",  X"98",  X"97",  X"95",  X"94",  X"94",  X"90",  X"90",  X"8D",  X"8C",  X"89",  X"84",  X"80",  X"80",  X"80",  X"81",  X"81",  X"81",  X"81",  X"82",  X"81",  X"84",  X"88",  X"85",
  X"83",  X"82",  X"7F",  X"7A",  X"7A",  X"7A",  X"7A",  X"78",  X"79",  X"79",  X"74",  X"76",  X"79",  X"7E",  X"84",  X"83",  X"83",  X"84",  X"84",  X"83",  X"77",  X"7C",  X"84",  X"86",  X"89",  X"8B",  X"8B",  X"91",  X"91",  X"93",  X"96",  X"97",
  X"98",  X"98",  X"97",  X"9A",  X"9D",  X"9E",  X"9E",  X"A0",  X"A0",  X"A2",  X"A1",  X"A3",  X"A3",  X"A2",  X"A0",  X"9E",  X"98",  X"97",  X"9A",  X"9B",  X"99",  X"97",  X"97",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",
--35
  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"90",  X"90",  X"8F",  X"91",  X"92",  X"92",  X"93",  X"92",  X"92",  X"92",  X"92",  X"94",  X"91",  X"93",  X"92",  X"93",  X"93",  X"93",  X"90",  X"8A",  X"89",  X"90",  X"94",  X"99",  X"9B",
  X"9C",  X"9B",  X"9B",  X"9A",  X"9A",  X"99",  X"9A",  X"9A",  X"98",  X"97",  X"97",  X"94",  X"94",  X"8F",  X"8D",  X"89",  X"89",  X"88",  X"86",  X"83",  X"7F",  X"7C",  X"7C",  X"81",  X"7F",  X"7F",  X"81",  X"84",  X"81",  X"83",  X"84",  X"81",
  X"7D",  X"7E",  X"7C",  X"79",  X"76",  X"77",  X"77",  X"76",  X"77",  X"75",  X"74",  X"79",  X"7C",  X"81",  X"86",  X"85",  X"84",  X"84",  X"85",  X"83",  X"77",  X"76",  X"7F",  X"82",  X"84",  X"8A",  X"8B",  X"8E",  X"90",  X"90",  X"92",  X"90",
  X"92",  X"94",  X"93",  X"9C",  X"9D",  X"9F",  X"9F",  X"A0",  X"A0",  X"A1",  X"A0",  X"A2",  X"A3",  X"A3",  X"A0",  X"9D",  X"9D",  X"9C",  X"9C",  X"9B",  X"9A",  X"98",  X"96",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",
--36
  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"92",  X"92",  X"92",  X"91",  X"92",  X"95",  X"93",  X"93",  X"93",  X"93",  X"94",  X"90",  X"92",  X"92",  X"92",  X"92",  X"93",  X"8A",  X"84",  X"8D",  X"95",  X"96",  X"99",  X"9B",
  X"9C",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"98",  X"96",  X"96",  X"93",  X"94",  X"8F",  X"8C",  X"8A",  X"89",  X"84",  X"80",  X"7E",  X"7C",  X"79",  X"73",  X"7B",  X"7F",  X"7E",  X"7D",  X"85",  X"83",  X"84",  X"81",  X"7D",
  X"7D",  X"7C",  X"77",  X"76",  X"73",  X"73",  X"75",  X"76",  X"73",  X"75",  X"76",  X"7A",  X"7D",  X"83",  X"8B",  X"89",  X"85",  X"84",  X"84",  X"84",  X"7C",  X"7C",  X"7F",  X"7E",  X"81",  X"89",  X"8C",  X"90",  X"94",  X"95",  X"96",  X"95",
  X"95",  X"93",  X"92",  X"99",  X"9B",  X"9D",  X"9E",  X"9E",  X"9F",  X"A0",  X"A1",  X"A3",  X"A4",  X"A2",  X"A0",  X"9F",  X"9F",  X"9B",  X"9B",  X"9A",  X"9B",  X"9C",  X"98",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",
--37
  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"91",  X"91",  X"92",  X"93",  X"92",  X"85",  X"93",  X"94",  X"92",  X"93",  X"93",  X"93",  X"93",  X"92",  X"90",  X"92",  X"92",  X"92",  X"91",  X"89",  X"8B",  X"94",  X"95",  X"96",  X"99",  X"9B",
  X"9C",  X"9B",  X"9B",  X"9A",  X"9A",  X"9B",  X"99",  X"9A",  X"96",  X"94",  X"94",  X"91",  X"91",  X"8D",  X"8D",  X"89",  X"89",  X"83",  X"7D",  X"7C",  X"7E",  X"7A",  X"73",  X"7C",  X"7E",  X"7F",  X"86",  X"87",  X"81",  X"7E",  X"7B",  X"7A",
  X"7B",  X"7B",  X"78",  X"75",  X"73",  X"72",  X"70",  X"71",  X"73",  X"76",  X"78",  X"79",  X"7D",  X"84",  X"87",  X"86",  X"85",  X"83",  X"83",  X"82",  X"81",  X"84",  X"82",  X"7D",  X"80",  X"8A",  X"8E",  X"91",  X"97",  X"98",  X"99",  X"9C",
  X"9C",  X"9A",  X"99",  X"9C",  X"9C",  X"9B",  X"9B",  X"9D",  X"9F",  X"A0",  X"A0",  X"A3",  X"A3",  X"A2",  X"A0",  X"9E",  X"9E",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"97",  X"95",  X"95",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",
--38
  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"92",  X"92",  X"92",  X"8A",  X"96",  X"94",  X"93",  X"93",  X"91",  X"93",  X"93",  X"92",  X"91",  X"92",  X"91",  X"91",  X"8C",  X"8C",  X"93",  X"92",  X"95",  X"98",  X"9A",  X"9B",
  X"9B",  X"9B",  X"9B",  X"9A",  X"9A",  X"99",  X"98",  X"99",  X"95",  X"8F",  X"8E",  X"8C",  X"8D",  X"8A",  X"8B",  X"89",  X"86",  X"80",  X"7C",  X"7C",  X"7D",  X"79",  X"70",  X"7D",  X"7E",  X"82",  X"83",  X"84",  X"7C",  X"7B",  X"71",  X"78",
  X"77",  X"77",  X"77",  X"77",  X"74",  X"70",  X"70",  X"71",  X"75",  X"79",  X"7B",  X"7C",  X"7F",  X"87",  X"86",  X"86",  X"85",  X"84",  X"7F",  X"7D",  X"84",  X"88",  X"84",  X"80",  X"82",  X"89",  X"8E",  X"91",  X"98",  X"9C",  X"9B",  X"9B",
  X"9A",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"98",  X"9A",  X"9D",  X"9F",  X"A0",  X"A3",  X"A5",  X"A2",  X"A1",  X"A3",  X"A3",  X"9D",  X"9C",  X"99",  X"99",  X"9B",  X"97",  X"96",  X"92",  X"95",  X"98",  X"97",  X"96",  X"95",  X"96",  X"96",
--39
  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"93",  X"92",  X"94",  X"94",  X"92",  X"93",  X"93",  X"92",  X"92",  X"93",  X"90",  X"8A",  X"8A",  X"8F",  X"93",  X"94",  X"96",  X"98",  X"9B",  X"9A",
  X"9A",  X"9B",  X"9B",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"94",  X"8C",  X"88",  X"89",  X"89",  X"89",  X"89",  X"89",  X"7E",  X"78",  X"79",  X"7C",  X"7D",  X"75",  X"74",  X"7D",  X"80",  X"83",  X"81",  X"82",  X"7A",  X"79",  X"70",  X"75",
  X"76",  X"76",  X"76",  X"77",  X"75",  X"6F",  X"6F",  X"72",  X"78",  X"7D",  X"81",  X"84",  X"89",  X"89",  X"86",  X"84",  X"83",  X"84",  X"85",  X"84",  X"88",  X"90",  X"89",  X"87",  X"82",  X"87",  X"8D",  X"91",  X"98",  X"9C",  X"9B",  X"9B",
  X"9B",  X"9B",  X"9C",  X"9B",  X"9C",  X"9C",  X"99",  X"94",  X"97",  X"9E",  X"A0",  X"A4",  X"A8",  X"A6",  X"A5",  X"A8",  X"A5",  X"9E",  X"9C",  X"99",  X"99",  X"98",  X"96",  X"93",  X"91",  X"94",  X"96",  X"97",  X"97",  X"96",  X"96",  X"96",
--40
  X"94",  X"92",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"92",  X"93",  X"95",  X"93",  X"93",  X"93",  X"92",  X"92",  X"93",  X"8A",  X"84",  X"87",  X"91",  X"92",  X"94",  X"95",  X"98",  X"9A",  X"99",
  X"9A",  X"9A",  X"9A",  X"99",  X"98",  X"95",  X"94",  X"90",  X"8C",  X"87",  X"83",  X"82",  X"84",  X"83",  X"82",  X"80",  X"7A",  X"73",  X"73",  X"74",  X"75",  X"75",  X"77",  X"7D",  X"82",  X"82",  X"80",  X"7B",  X"78",  X"78",  X"76",  X"75",
  X"75",  X"73",  X"71",  X"73",  X"6F",  X"73",  X"74",  X"7B",  X"7F",  X"83",  X"8B",  X"8D",  X"8C",  X"8A",  X"86",  X"85",  X"89",  X"89",  X"8A",  X"88",  X"8C",  X"93",  X"90",  X"87",  X"85",  X"85",  X"8D",  X"92",  X"97",  X"9A",  X"9A",  X"9B",
  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9A",  X"95",  X"96",  X"9E",  X"9E",  X"A3",  X"A7",  X"A7",  X"A8",  X"A8",  X"A6",  X"9F",  X"9D",  X"9A",  X"98",  X"96",  X"95",  X"95",  X"91",  X"95",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",
--41
  X"95",  X"93",  X"93",  X"94",  X"91",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"94",  X"92",  X"93",  X"94",  X"94",  X"92",  X"93",  X"94",  X"91",  X"91",  X"8C",  X"86",  X"85",  X"8C",  X"92",  X"93",  X"92",  X"94",  X"96",  X"98",  X"99",
  X"99",  X"99",  X"98",  X"97",  X"97",  X"93",  X"90",  X"88",  X"85",  X"84",  X"7C",  X"77",  X"7B",  X"79",  X"7B",  X"79",  X"77",  X"73",  X"74",  X"73",  X"76",  X"78",  X"7B",  X"82",  X"85",  X"81",  X"7C",  X"77",  X"79",  X"77",  X"76",  X"75",
  X"74",  X"73",  X"72",  X"78",  X"6D",  X"7D",  X"7E",  X"86",  X"8B",  X"8C",  X"8E",  X"8F",  X"89",  X"8A",  X"85",  X"87",  X"87",  X"8D",  X"8E",  X"95",  X"95",  X"93",  X"98",  X"90",  X"8A",  X"89",  X"8D",  X"93",  X"96",  X"97",  X"99",  X"9B",
  X"9C",  X"9C",  X"9D",  X"9C",  X"9B",  X"9C",  X"9B",  X"99",  X"9A",  X"9D",  X"9E",  X"A3",  X"A8",  X"A8",  X"A9",  X"A8",  X"A8",  X"A1",  X"9F",  X"99",  X"98",  X"96",  X"95",  X"94",  X"92",  X"97",  X"96",  X"96",  X"96",  X"95",  X"96",  X"96",
--42
  X"95",  X"94",  X"93",  X"94",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"92",  X"94",  X"94",  X"93",  X"93",  X"92",  X"93",  X"92",  X"92",  X"84",  X"82",  X"86",  X"8D",  X"91",  X"93",  X"93",  X"95",  X"97",  X"99",  X"98",
  X"97",  X"98",  X"97",  X"97",  X"97",  X"91",  X"8C",  X"85",  X"84",  X"81",  X"76",  X"76",  X"76",  X"76",  X"76",  X"78",  X"76",  X"74",  X"73",  X"73",  X"77",  X"7C",  X"7C",  X"82",  X"84",  X"81",  X"79",  X"77",  X"77",  X"76",  X"74",  X"74",
  X"74",  X"74",  X"73",  X"71",  X"76",  X"84",  X"88",  X"8C",  X"8E",  X"8E",  X"8F",  X"8E",  X"86",  X"86",  X"85",  X"89",  X"8E",  X"90",  X"91",  X"92",  X"94",  X"94",  X"93",  X"92",  X"8C",  X"89",  X"8E",  X"92",  X"96",  X"98",  X"99",  X"9C",
  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"97",  X"9A",  X"9E",  X"A6",  X"A8",  X"A7",  X"A8",  X"A9",  X"A9",  X"A3",  X"A0",  X"9B",  X"98",  X"96",  X"94",  X"90",  X"93",  X"95",  X"96",  X"95",  X"96",  X"95",  X"96",  X"96",
--43
  X"95",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"96",  X"93",  X"93",  X"91",  X"92",  X"92",  X"91",  X"91",  X"8B",  X"81",  X"78",  X"7F",  X"89",  X"8F",  X"91",  X"93",  X"93",  X"95",  X"96",  X"97",  X"96",
  X"95",  X"97",  X"94",  X"93",  X"8F",  X"8A",  X"85",  X"83",  X"84",  X"7F",  X"78",  X"76",  X"77",  X"78",  X"78",  X"77",  X"7A",  X"78",  X"75",  X"72",  X"7B",  X"7D",  X"7D",  X"84",  X"81",  X"7B",  X"77",  X"77",  X"76",  X"75",  X"71",  X"74",
  X"74",  X"73",  X"71",  X"74",  X"7A",  X"86",  X"8A",  X"8C",  X"8F",  X"8F",  X"8F",  X"8B",  X"86",  X"85",  X"84",  X"88",  X"8C",  X"90",  X"93",  X"94",  X"93",  X"93",  X"93",  X"92",  X"8E",  X"88",  X"8B",  X"8E",  X"95",  X"96",  X"97",  X"9A",
  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9A",  X"97",  X"9A",  X"9D",  X"A1",  X"A5",  X"A7",  X"A8",  X"AA",  X"A9",  X"A4",  X"A3",  X"9C",  X"98",  X"94",  X"92",  X"92",  X"94",  X"96",  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",
--44
  X"95",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"93",  X"93",  X"92",  X"91",  X"8F",  X"8D",  X"88",  X"81",  X"7F",  X"82",  X"88",  X"8F",  X"91",  X"93",  X"93",  X"94",  X"95",  X"96",  X"96",
  X"95",  X"95",  X"91",  X"8D",  X"8A",  X"89",  X"80",  X"7E",  X"7D",  X"7D",  X"7C",  X"79",  X"7D",  X"7B",  X"7C",  X"80",  X"80",  X"7D",  X"7B",  X"78",  X"7C",  X"7C",  X"7D",  X"81",  X"7E",  X"7A",  X"76",  X"76",  X"76",  X"75",  X"72",  X"71",
  X"72",  X"71",  X"70",  X"78",  X"7F",  X"87",  X"89",  X"8D",  X"8E",  X"8E",  X"8E",  X"87",  X"85",  X"7C",  X"7E",  X"83",  X"8B",  X"92",  X"94",  X"94",  X"93",  X"92",  X"92",  X"91",  X"8E",  X"89",  X"8A",  X"8A",  X"91",  X"92",  X"94",  X"9A",
  X"9A",  X"9A",  X"9A",  X"9B",  X"9C",  X"9C",  X"9B",  X"9D",  X"9B",  X"99",  X"9A",  X"9D",  X"9F",  X"A2",  X"A5",  X"A8",  X"A7",  X"A5",  X"A3",  X"9F",  X"97",  X"95",  X"92",  X"94",  X"95",  X"96",  X"96",  X"96",  X"97",  X"96",  X"96",  X"96",
--45
  X"95",  X"95",  X"95",  X"92",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"94",  X"92",  X"92",  X"92",  X"92",  X"93",  X"8F",  X"8B",  X"87",  X"86",  X"86",  X"85",  X"8B",  X"8D",  X"91",  X"91",  X"90",  X"92",  X"93",  X"93",  X"93",
  X"92",  X"93",  X"8F",  X"8B",  X"88",  X"88",  X"80",  X"7E",  X"7F",  X"81",  X"80",  X"7F",  X"7E",  X"7A",  X"79",  X"81",  X"83",  X"80",  X"80",  X"7C",  X"7C",  X"7C",  X"7D",  X"7D",  X"7B",  X"77",  X"76",  X"76",  X"75",  X"74",  X"74",  X"70",
  X"6F",  X"70",  X"73",  X"7B",  X"82",  X"8A",  X"8B",  X"8B",  X"8C",  X"8C",  X"88",  X"86",  X"81",  X"78",  X"7B",  X"80",  X"8C",  X"91",  X"90",  X"91",  X"92",  X"92",  X"92",  X"91",  X"8D",  X"8A",  X"8A",  X"8D",  X"91",  X"92",  X"93",  X"97",
  X"98",  X"98",  X"99",  X"9C",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9B",  X"98",  X"9D",  X"A1",  X"A3",  X"A7",  X"A7",  X"A6",  X"A7",  X"9E",  X"98",  X"92",  X"91",  X"93",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",
--46
  X"95",  X"95",  X"95",  X"93",  X"95",  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"94",  X"92",  X"92",  X"92",  X"92",  X"94",  X"86",  X"8D",  X"8C",  X"8C",  X"87",  X"87",  X"8C",  X"8F",  X"91",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",
  X"90",  X"8F",  X"8F",  X"8B",  X"88",  X"89",  X"85",  X"80",  X"81",  X"81",  X"80",  X"81",  X"7F",  X"7F",  X"81",  X"83",  X"89",  X"87",  X"82",  X"7C",  X"7D",  X"7C",  X"7C",  X"7B",  X"7B",  X"80",  X"78",  X"77",  X"76",  X"75",  X"73",  X"71",
  X"6F",  X"70",  X"77",  X"7E",  X"88",  X"8C",  X"8C",  X"88",  X"88",  X"87",  X"85",  X"86",  X"80",  X"7A",  X"7D",  X"83",  X"8C",  X"90",  X"91",  X"91",  X"93",  X"94",  X"92",  X"8F",  X"8D",  X"89",  X"88",  X"8F",  X"90",  X"91",  X"93",  X"96",
  X"96",  X"97",  X"98",  X"9B",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9C",  X"94",  X"97",  X"9E",  X"9F",  X"A3",  X"A4",  X"A4",  X"9C",  X"99",  X"8B",  X"92",  X"92",  X"95",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",
--47
  X"94",  X"94",  X"92",  X"94",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"92",  X"93",  X"93",  X"8E",  X"89",  X"88",  X"8E",  X"8E",  X"8F",  X"88",  X"89",  X"8D",  X"90",  X"8F",  X"91",  X"91",  X"92",  X"91",  X"91",  X"90",
  X"91",  X"94",  X"8D",  X"8B",  X"88",  X"87",  X"83",  X"7A",  X"81",  X"80",  X"7F",  X"81",  X"82",  X"83",  X"82",  X"87",  X"89",  X"88",  X"81",  X"77",  X"79",  X"7C",  X"7B",  X"7B",  X"79",  X"7A",  X"77",  X"76",  X"75",  X"75",  X"72",  X"73",
  X"6A",  X"72",  X"78",  X"81",  X"87",  X"8A",  X"8C",  X"87",  X"85",  X"84",  X"85",  X"81",  X"80",  X"83",  X"86",  X"89",  X"8D",  X"8F",  X"90",  X"91",  X"8F",  X"92",  X"92",  X"90",  X"8B",  X"89",  X"8C",  X"90",  X"90",  X"91",  X"93",  X"95",
  X"95",  X"96",  X"98",  X"9B",  X"9D",  X"9D",  X"9F",  X"9E",  X"9E",  X"9E",  X"9D",  X"9E",  X"93",  X"94",  X"99",  X"9E",  X"A3",  X"A6",  X"A3",  X"9B",  X"97",  X"91",  X"91",  X"94",  X"97",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",
--48
  X"94",  X"94",  X"95",  X"94",  X"95",  X"94",  X"93",  X"93",  X"93",  X"93",  X"93",  X"93",  X"94",  X"92",  X"8E",  X"89",  X"89",  X"8E",  X"8E",  X"91",  X"8F",  X"8E",  X"8A",  X"8F",  X"8D",  X"90",  X"98",  X"92",  X"8D",  X"8F",  X"90",  X"90",
  X"90",  X"91",  X"8F",  X"8D",  X"89",  X"88",  X"86",  X"7F",  X"7E",  X"7F",  X"80",  X"82",  X"88",  X"8B",  X"8A",  X"8B",  X"8B",  X"87",  X"80",  X"77",  X"77",  X"79",  X"7C",  X"7B",  X"7B",  X"7A",  X"77",  X"77",  X"76",  X"6F",  X"6C",  X"6B",
  X"6A",  X"72",  X"7A",  X"81",  X"87",  X"8B",  X"8D",  X"89",  X"86",  X"85",  X"83",  X"7B",  X"81",  X"88",  X"8A",  X"8A",  X"8C",  X"90",  X"8F",  X"95",  X"92",  X"91",  X"91",  X"90",  X"8B",  X"8B",  X"8D",  X"90",  X"90",  X"91",  X"93",  X"95",
  X"95",  X"95",  X"97",  X"9B",  X"9C",  X"9D",  X"9E",  X"9E",  X"9D",  X"9E",  X"9E",  X"9F",  X"97",  X"8F",  X"91",  X"9E",  X"A2",  X"A0",  X"9F",  X"99",  X"95",  X"95",  X"93",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",
--49
  X"94",  X"94",  X"94",  X"93",  X"95",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"94",  X"92",  X"90",  X"87",  X"8B",  X"8F",  X"8F",  X"91",  X"93",  X"91",  X"8F",  X"89",  X"8D",  X"8F",  X"8F",  X"94",  X"93",  X"91",  X"94",  X"91",  X"91",
  X"91",  X"91",  X"8F",  X"8D",  X"89",  X"87",  X"84",  X"7E",  X"7D",  X"7E",  X"82",  X"87",  X"8C",  X"8F",  X"90",  X"8E",  X"8C",  X"88",  X"83",  X"79",  X"74",  X"77",  X"7A",  X"78",  X"78",  X"78",  X"75",  X"74",  X"70",  X"6B",  X"69",  X"68",
  X"65",  X"73",  X"79",  X"80",  X"87",  X"89",  X"8B",  X"8A",  X"86",  X"83",  X"82",  X"7E",  X"80",  X"87",  X"8B",  X"8B",  X"8D",  X"8F",  X"8F",  X"8F",  X"8F",  X"8F",  X"8F",  X"8F",  X"8C",  X"8B",  X"8D",  X"8F",  X"90",  X"90",  X"92",  X"95",
  X"95",  X"95",  X"96",  X"9B",  X"9D",  X"9E",  X"9F",  X"9E",  X"9E",  X"9F",  X"9E",  X"A0",  X"9D",  X"92",  X"94",  X"99",  X"A1",  X"9C",  X"99",  X"97",  X"94",  X"94",  X"94",  X"96",  X"96",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",
--50
  X"94",  X"94",  X"94",  X"93",  X"94",  X"95",  X"94",  X"94",  X"93",  X"93",  X"93",  X"93",  X"92",  X"87",  X"8F",  X"90",  X"91",  X"92",  X"92",  X"92",  X"91",  X"8F",  X"8A",  X"8A",  X"8F",  X"90",  X"91",  X"93",  X"91",  X"92",  X"91",  X"90",
  X"90",  X"8E",  X"8E",  X"8C",  X"89",  X"88",  X"85",  X"82",  X"7D",  X"7C",  X"83",  X"88",  X"8D",  X"91",  X"91",  X"90",  X"8C",  X"8A",  X"84",  X"7F",  X"7C",  X"7A",  X"7B",  X"7A",  X"7A",  X"79",  X"76",  X"73",  X"6C",  X"6B",  X"69",  X"6A",
  X"63",  X"71",  X"7A",  X"7F",  X"82",  X"86",  X"88",  X"89",  X"84",  X"85",  X"82",  X"82",  X"81",  X"87",  X"8C",  X"8C",  X"8C",  X"8C",  X"8D",  X"8D",  X"90",  X"8F",  X"8E",  X"8A",  X"8A",  X"8B",  X"8B",  X"8F",  X"8F",  X"8F",  X"91",  X"94",
  X"94",  X"95",  X"97",  X"9C",  X"9D",  X"9E",  X"A0",  X"A0",  X"9F",  X"A1",  X"A2",  X"9F",  X"A0",  X"99",  X"90",  X"90",  X"A2",  X"96",  X"92",  X"92",  X"92",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--51
  X"94",  X"94",  X"94",  X"93",  X"93",  X"92",  X"92",  X"91",  X"92",  X"92",  X"92",  X"92",  X"90",  X"8C",  X"93",  X"92",  X"93",  X"94",  X"93",  X"92",  X"92",  X"8F",  X"8D",  X"8A",  X"8C",  X"91",  X"8F",  X"90",  X"90",  X"93",  X"8F",  X"91",
  X"90",  X"8E",  X"8D",  X"8C",  X"89",  X"87",  X"85",  X"83",  X"7D",  X"7B",  X"82",  X"82",  X"8B",  X"91",  X"92",  X"91",  X"8E",  X"8F",  X"89",  X"81",  X"7E",  X"7D",  X"7C",  X"7B",  X"7A",  X"78",  X"75",  X"72",  X"6B",  X"6E",  X"6A",  X"68",
  X"69",  X"75",  X"77",  X"7E",  X"83",  X"84",  X"87",  X"87",  X"82",  X"84",  X"7D",  X"81",  X"82",  X"87",  X"8B",  X"8A",  X"8B",  X"8A",  X"8C",  X"8C",  X"90",  X"8E",  X"8C",  X"87",  X"85",  X"89",  X"8B",  X"8E",  X"8F",  X"8F",  X"91",  X"93",
  X"94",  X"94",  X"97",  X"9C",  X"9E",  X"9F",  X"A0",  X"A0",  X"A0",  X"A1",  X"A1",  X"A1",  X"9E",  X"99",  X"98",  X"96",  X"95",  X"94",  X"91",  X"8C",  X"91",  X"96",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--52
  X"93",  X"93",  X"94",  X"93",  X"93",  X"94",  X"93",  X"93",  X"92",  X"92",  X"92",  X"93",  X"90",  X"93",  X"93",  X"94",  X"94",  X"93",  X"92",  X"93",  X"92",  X"90",  X"8E",  X"89",  X"8C",  X"90",  X"8F",  X"90",  X"90",  X"96",  X"8F",  X"90",
  X"90",  X"8E",  X"8D",  X"8B",  X"8A",  X"87",  X"84",  X"80",  X"77",  X"78",  X"7B",  X"7B",  X"85",  X"8E",  X"92",  X"90",  X"8D",  X"8A",  X"86",  X"85",  X"85",  X"81",  X"80",  X"7E",  X"7C",  X"7A",  X"75",  X"74",  X"6B",  X"6C",  X"69",  X"68",
  X"68",  X"78",  X"7C",  X"81",  X"82",  X"85",  X"87",  X"85",  X"81",  X"80",  X"78",  X"7C",  X"86",  X"86",  X"89",  X"89",  X"8A",  X"8C",  X"90",  X"8F",  X"8C",  X"8C",  X"86",  X"7F",  X"82",  X"84",  X"8B",  X"8E",  X"8F",  X"8F",  X"91",  X"93",
  X"94",  X"95",  X"97",  X"9B",  X"9F",  X"9E",  X"A0",  X"A1",  X"A1",  X"A2",  X"A2",  X"A1",  X"A0",  X"9B",  X"97",  X"93",  X"93",  X"94",  X"91",  X"92",  X"93",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--53
  X"94",  X"90",  X"94",  X"92",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"90",  X"94",  X"94",  X"94",  X"95",  X"94",  X"94",  X"95",  X"93",  X"95",  X"89",  X"8A",  X"8E",  X"8F",  X"90",  X"90",  X"8F",  X"93",  X"90",  X"8F",
  X"8F",  X"8D",  X"8C",  X"8B",  X"8A",  X"86",  X"83",  X"7F",  X"78",  X"77",  X"75",  X"78",  X"7F",  X"8C",  X"90",  X"91",  X"8D",  X"86",  X"86",  X"84",  X"82",  X"81",  X"80",  X"7F",  X"7C",  X"79",  X"75",  X"74",  X"6C",  X"6C",  X"69",  X"66",
  X"68",  X"71",  X"7E",  X"7F",  X"80",  X"82",  X"85",  X"82",  X"7F",  X"79",  X"75",  X"7B",  X"82",  X"85",  X"87",  X"88",  X"8B",  X"8C",  X"8C",  X"8B",  X"87",  X"89",  X"7E",  X"74",  X"81",  X"87",  X"8A",  X"8D",  X"8E",  X"8F",  X"90",  X"93",
  X"94",  X"95",  X"97",  X"9B",  X"9F",  X"9E",  X"A0",  X"A1",  X"A1",  X"A2",  X"A2",  X"A2",  X"A0",  X"9B",  X"98",  X"8E",  X"85",  X"89",  X"91",  X"95",  X"95",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--54
  X"93",  X"90",  X"95",  X"93",  X"93",  X"93",  X"94",  X"94",  X"94",  X"94",  X"93",  X"91",  X"92",  X"93",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"93",  X"8D",  X"85",  X"88",  X"90",  X"8F",  X"90",  X"90",  X"90",  X"8E",  X"8E",  X"8F",
  X"8F",  X"8D",  X"8C",  X"8B",  X"8A",  X"85",  X"83",  X"80",  X"77",  X"73",  X"71",  X"71",  X"79",  X"85",  X"8E",  X"8D",  X"8D",  X"86",  X"81",  X"81",  X"81",  X"7F",  X"7C",  X"7F",  X"7C",  X"7A",  X"77",  X"75",  X"73",  X"6E",  X"69",  X"66",
  X"65",  X"6D",  X"7C",  X"7E",  X"83",  X"83",  X"83",  X"7E",  X"7A",  X"6F",  X"79",  X"74",  X"82",  X"83",  X"84",  X"86",  X"88",  X"8B",  X"8B",  X"8B",  X"87",  X"87",  X"7F",  X"7C",  X"81",  X"87",  X"88",  X"8C",  X"8E",  X"8F",  X"90",  X"91",
  X"94",  X"95",  X"97",  X"9B",  X"9F",  X"9F",  X"A1",  X"A0",  X"A0",  X"A2",  X"A1",  X"A1",  X"A0",  X"9B",  X"97",  X"8B",  X"85",  X"8F",  X"95",  X"97",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--55
  X"94",  X"93",  X"94",  X"93",  X"95",  X"94",  X"94",  X"94",  X"95",  X"93",  X"91",  X"93",  X"93",  X"94",  X"96",  X"96",  X"96",  X"96",  X"96",  X"94",  X"93",  X"8B",  X"88",  X"88",  X"8B",  X"8F",  X"8F",  X"90",  X"90",  X"8F",  X"8F",  X"8F",
  X"8F",  X"8E",  X"8C",  X"8B",  X"8A",  X"87",  X"82",  X"7F",  X"75",  X"72",  X"6D",  X"74",  X"79",  X"7D",  X"86",  X"8C",  X"8B",  X"86",  X"7F",  X"7B",  X"7B",  X"79",  X"7A",  X"7B",  X"7B",  X"7B",  X"7A",  X"76",  X"79",  X"75",  X"68",  X"60",
  X"5B",  X"68",  X"76",  X"7D",  X"83",  X"83",  X"82",  X"7B",  X"7A",  X"70",  X"7B",  X"7C",  X"82",  X"82",  X"84",  X"85",  X"83",  X"85",  X"86",  X"8A",  X"83",  X"7C",  X"7C",  X"7B",  X"84",  X"87",  X"89",  X"8C",  X"8C",  X"8E",  X"90",  X"92",
  X"94",  X"95",  X"98",  X"9B",  X"A0",  X"A0",  X"A1",  X"A0",  X"A1",  X"A2",  X"A2",  X"A1",  X"A0",  X"9A",  X"97",  X"92",  X"91",  X"93",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--56
  X"93",  X"95",  X"94",  X"94",  X"93",  X"94",  X"94",  X"95",  X"92",  X"94",  X"93",  X"93",  X"93",  X"94",  X"96",  X"95",  X"96",  X"95",  X"96",  X"95",  X"94",  X"8C",  X"88",  X"7D",  X"84",  X"8B",  X"8F",  X"8E",  X"8E",  X"8E",  X"8E",  X"8F",
  X"8E",  X"8D",  X"8C",  X"8B",  X"8A",  X"86",  X"81",  X"7E",  X"74",  X"6F",  X"6A",  X"75",  X"78",  X"7C",  X"7D",  X"87",  X"89",  X"82",  X"7D",  X"7B",  X"78",  X"78",  X"77",  X"78",  X"78",  X"77",  X"78",  X"77",  X"7D",  X"7C",  X"78",  X"59",
  X"5C",  X"62",  X"71",  X"7B",  X"7E",  X"7E",  X"7D",  X"79",  X"70",  X"79",  X"7A",  X"7D",  X"81",  X"82",  X"83",  X"84",  X"84",  X"84",  X"84",  X"81",  X"7C",  X"79",  X"7B",  X"7A",  X"83",  X"85",  X"88",  X"8B",  X"8B",  X"8E",  X"90",  X"92",
  X"94",  X"95",  X"98",  X"9C",  X"A1",  X"A1",  X"A1",  X"A1",  X"A1",  X"A2",  X"A2",  X"A1",  X"A0",  X"9B",  X"98",  X"93",  X"94",  X"97",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--57
  X"93",  X"94",  X"93",  X"95",  X"94",  X"94",  X"95",  X"95",  X"95",  X"94",  X"92",  X"93",  X"93",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"8F",  X"8D",  X"87",  X"85",  X"85",  X"8A",  X"8D",  X"8D",  X"8D",  X"8D",  X"8E",
  X"8E",  X"8C",  X"8B",  X"8C",  X"89",  X"82",  X"7E",  X"7D",  X"76",  X"75",  X"76",  X"79",  X"78",  X"7C",  X"7C",  X"80",  X"83",  X"7D",  X"7C",  X"7A",  X"75",  X"70",  X"72",  X"74",  X"76",  X"75",  X"75",  X"76",  X"79",  X"7E",  X"95",  X"86",
  X"7C",  X"72",  X"74",  X"79",  X"7C",  X"7B",  X"7A",  X"7A",  X"74",  X"77",  X"76",  X"7C",  X"81",  X"81",  X"82",  X"82",  X"81",  X"83",  X"80",  X"7A",  X"76",  X"72",  X"72",  X"75",  X"83",  X"87",  X"88",  X"8B",  X"8B",  X"8F",  X"91",  X"92",
  X"94",  X"95",  X"9A",  X"9C",  X"A1",  X"A1",  X"A1",  X"A2",  X"A1",  X"A2",  X"A2",  X"A1",  X"A0",  X"9B",  X"97",  X"93",  X"95",  X"96",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",
--58
  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"93",  X"96",  X"95",  X"95",  X"92",  X"93",  X"93",  X"94",  X"95",  X"94",  X"94",  X"95",  X"95",  X"95",  X"94",  X"92",  X"8E",  X"8A",  X"85",  X"83",  X"85",  X"89",  X"8C",  X"8D",  X"8D",  X"8E",
  X"8E",  X"8C",  X"8B",  X"8B",  X"8A",  X"81",  X"7D",  X"7D",  X"76",  X"76",  X"78",  X"79",  X"7B",  X"7B",  X"79",  X"7A",  X"7C",  X"78",  X"75",  X"75",  X"72",  X"6F",  X"6D",  X"6E",  X"6F",  X"6E",  X"70",  X"72",  X"72",  X"7A",  X"8E",  X"8E",
  X"92",  X"89",  X"88",  X"83",  X"7F",  X"7C",  X"7D",  X"77",  X"73",  X"74",  X"73",  X"77",  X"7A",  X"7D",  X"7D",  X"7D",  X"78",  X"75",  X"74",  X"71",  X"71",  X"71",  X"71",  X"75",  X"78",  X"85",  X"87",  X"8B",  X"8B",  X"8E",  X"90",  X"92",
  X"93",  X"95",  X"9A",  X"9E",  X"A0",  X"A1",  X"A3",  X"A2",  X"A2",  X"A2",  X"A2",  X"A1",  X"A0",  X"9A",  X"99",  X"92",  X"94",  X"95",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"96",  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",
--59
  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"95",  X"94",  X"95",  X"93",  X"93",  X"93",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"92",  X"91",  X"8F",  X"86",  X"83",  X"83",  X"83",  X"89",  X"8B",  X"8A",  X"8B",
  X"8B",  X"88",  X"88",  X"85",  X"85",  X"80",  X"7D",  X"7A",  X"75",  X"7B",  X"7A",  X"7C",  X"7A",  X"78",  X"76",  X"74",  X"6D",  X"6B",  X"6B",  X"6F",  X"71",  X"70",  X"70",  X"6D",  X"6A",  X"6B",  X"6B",  X"6C",  X"6F",  X"74",  X"7B",  X"88",
  X"8B",  X"91",  X"93",  X"8C",  X"85",  X"7E",  X"7B",  X"76",  X"72",  X"74",  X"74",  X"74",  X"75",  X"7B",  X"7C",  X"7A",  X"74",  X"73",  X"73",  X"71",  X"71",  X"72",  X"73",  X"73",  X"77",  X"84",  X"88",  X"8A",  X"8B",  X"8D",  X"8F",  X"92",
  X"93",  X"96",  X"9A",  X"9E",  X"A0",  X"A2",  X"A3",  X"A2",  X"A2",  X"A2",  X"A2",  X"A1",  X"9F",  X"9A",  X"95",  X"92",  X"94",  X"96",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"97",  X"98",  X"98",  X"98",  X"97",  X"98",
--60
  X"95",  X"95",  X"95",  X"96",  X"96",  X"96",  X"95",  X"95",  X"95",  X"94",  X"92",  X"92",  X"92",  X"94",  X"95",  X"96",  X"95",  X"95",  X"95",  X"95",  X"94",  X"93",  X"90",  X"8E",  X"8A",  X"88",  X"81",  X"7D",  X"83",  X"86",  X"88",  X"89",
  X"8A",  X"89",  X"88",  X"85",  X"80",  X"7D",  X"7D",  X"7C",  X"7A",  X"7B",  X"7B",  X"7B",  X"7B",  X"79",  X"76",  X"6E",  X"69",  X"67",  X"69",  X"6B",  X"6A",  X"71",  X"71",  X"6E",  X"6A",  X"6B",  X"6B",  X"6D",  X"70",  X"74",  X"73",  X"7A",
  X"7E",  X"7D",  X"84",  X"88",  X"89",  X"86",  X"7D",  X"79",  X"74",  X"75",  X"74",  X"72",  X"75",  X"78",  X"75",  X"75",  X"72",  X"72",  X"72",  X"73",  X"72",  X"71",  X"71",  X"71",  X"78",  X"7E",  X"86",  X"8A",  X"8B",  X"8C",  X"8F",  X"92",
  X"93",  X"97",  X"9B",  X"9E",  X"A1",  X"A2",  X"A2",  X"A2",  X"A2",  X"A2",  X"A2",  X"A1",  X"9F",  X"97",  X"8E",  X"93",  X"93",  X"96",  X"97",  X"97",  X"98",  X"98",  X"98",  X"99",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",
--61
  X"95",  X"97",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"94",  X"94",  X"93",  X"93",  X"94",  X"96",  X"95",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"92",  X"91",  X"8F",  X"8D",  X"8C",  X"86",  X"7F",  X"80",  X"80",  X"86",  X"89",
  X"8D",  X"8C",  X"87",  X"82",  X"7F",  X"7D",  X"7B",  X"7C",  X"7B",  X"7B",  X"7B",  X"7B",  X"7C",  X"78",  X"75",  X"6D",  X"6A",  X"6A",  X"5E",  X"73",  X"6F",  X"69",  X"72",  X"71",  X"6D",  X"6C",  X"6C",  X"68",  X"73",  X"72",  X"73",  X"77",
  X"72",  X"73",  X"7F",  X"83",  X"88",  X"89",  X"84",  X"85",  X"81",  X"81",  X"83",  X"78",  X"78",  X"77",  X"71",  X"73",  X"75",  X"76",  X"78",  X"7C",  X"78",  X"75",  X"72",  X"74",  X"78",  X"7D",  X"86",  X"89",  X"8A",  X"8D",  X"8E",  X"93",
  X"95",  X"99",  X"9B",  X"9E",  X"A1",  X"A2",  X"A2",  X"A2",  X"A2",  X"A2",  X"A2",  X"A0",  X"9C",  X"97",  X"8A",  X"8C",  X"8F",  X"96",  X"96",  X"98",  X"97",  X"98",  X"99",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"98",  X"99",
--62
  X"96",  X"97",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"96",  X"92",  X"8F",  X"90",  X"93",  X"95",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"92",  X"91",  X"8F",  X"8D",  X"8E",  X"8B",  X"85",  X"80",  X"80",  X"84",  X"86",
  X"86",  X"86",  X"85",  X"81",  X"7E",  X"79",  X"79",  X"7C",  X"7B",  X"7B",  X"7B",  X"7B",  X"77",  X"77",  X"75",  X"6F",  X"71",  X"6A",  X"69",  X"79",  X"74",  X"76",  X"74",  X"73",  X"72",  X"6F",  X"6F",  X"6E",  X"6C",  X"6D",  X"71",  X"73",
  X"78",  X"7D",  X"7D",  X"83",  X"85",  X"87",  X"7F",  X"82",  X"88",  X"86",  X"83",  X"80",  X"81",  X"7E",  X"78",  X"7D",  X"81",  X"86",  X"88",  X"88",  X"8A",  X"80",  X"7B",  X"77",  X"78",  X"7D",  X"85",  X"8A",  X"8B",  X"8D",  X"8F",  X"92",
  X"95",  X"98",  X"9B",  X"9E",  X"A0",  X"A2",  X"A2",  X"A2",  X"A2",  X"A2",  X"A3",  X"9F",  X"94",  X"8E",  X"85",  X"80",  X"84",  X"8E",  X"91",  X"96",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",
--63
  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"95",  X"95",  X"96",  X"90",  X"8A",  X"8C",  X"93",  X"95",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"95",  X"91",  X"8F",  X"8F",  X"8E",  X"8C",  X"8B",  X"83",  X"80",  X"80",  X"80",
  X"81",  X"81",  X"81",  X"82",  X"79",  X"75",  X"7C",  X"7D",  X"7D",  X"7D",  X"7D",  X"7D",  X"7B",  X"7B",  X"77",  X"74",  X"72",  X"6D",  X"6C",  X"72",  X"77",  X"76",  X"76",  X"75",  X"74",  X"74",  X"75",  X"76",  X"75",  X"73",  X"70",  X"71",
  X"76",  X"79",  X"7D",  X"84",  X"86",  X"89",  X"85",  X"89",  X"87",  X"85",  X"84",  X"83",  X"81",  X"83",  X"89",  X"8D",  X"8E",  X"91",  X"8F",  X"8F",  X"8F",  X"88",  X"7B",  X"76",  X"7A",  X"7D",  X"85",  X"8A",  X"8C",  X"8D",  X"8F",  X"91",
  X"95",  X"98",  X"9B",  X"9E",  X"9F",  X"A1",  X"A2",  X"A1",  X"A1",  X"A2",  X"A2",  X"A0",  X"93",  X"8E",  X"86",  X"82",  X"87",  X"8A",  X"8D",  X"94",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"9A",  X"99",
--64
  X"96",  X"97",  X"96",  X"96",  X"96",  X"97",  X"95",  X"95",  X"95",  X"91",  X"8C",  X"8C",  X"94",  X"95",  X"95",  X"95",  X"95",  X"95",  X"94",  X"95",  X"95",  X"97",  X"92",  X"8F",  X"8E",  X"8E",  X"8D",  X"8C",  X"89",  X"84",  X"80",  X"7B",
  X"78",  X"78",  X"7B",  X"78",  X"72",  X"71",  X"7C",  X"7E",  X"7E",  X"7E",  X"7E",  X"7E",  X"7E",  X"7F",  X"7E",  X"7E",  X"7B",  X"73",  X"70",  X"74",  X"76",  X"78",  X"76",  X"75",  X"74",  X"75",  X"76",  X"75",  X"76",  X"76",  X"75",  X"76",
  X"79",  X"7A",  X"7C",  X"7B",  X"81",  X"83",  X"83",  X"83",  X"84",  X"82",  X"83",  X"84",  X"88",  X"85",  X"8C",  X"90",  X"92",  X"92",  X"91",  X"8E",  X"8D",  X"84",  X"79",  X"73",  X"76",  X"7E",  X"85",  X"8A",  X"8D",  X"8D",  X"8F",  X"91",
  X"94",  X"98",  X"9B",  X"9E",  X"9F",  X"A1",  X"A2",  X"A1",  X"A1",  X"A2",  X"A2",  X"A0",  X"93",  X"8E",  X"8A",  X"8D",  X"8C",  X"8C",  X"89",  X"8E",  X"96",  X"98",  X"99",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",
--65
  X"96",  X"97",  X"97",  X"97",  X"97",  X"93",  X"9B",  X"94",  X"94",  X"90",  X"8D",  X"8B",  X"92",  X"93",  X"97",  X"93",  X"94",  X"94",  X"94",  X"93",  X"93",  X"92",  X"8F",  X"8E",  X"8F",  X"8E",  X"8C",  X"8C",  X"88",  X"85",  X"7F",  X"78",
  X"74",  X"70",  X"70",  X"70",  X"6E",  X"72",  X"7C",  X"7F",  X"80",  X"80",  X"80",  X"80",  X"82",  X"83",  X"83",  X"82",  X"81",  X"7C",  X"78",  X"77",  X"78",  X"78",  X"78",  X"76",  X"76",  X"77",  X"78",  X"78",  X"77",  X"78",  X"78",  X"7B",
  X"7B",  X"7C",  X"7E",  X"7F",  X"83",  X"81",  X"7D",  X"7A",  X"7E",  X"78",  X"7F",  X"85",  X"88",  X"88",  X"8D",  X"8F",  X"8D",  X"8D",  X"8B",  X"88",  X"84",  X"7D",  X"73",  X"75",  X"78",  X"7D",  X"83",  X"88",  X"8C",  X"8D",  X"8E",  X"92",
  X"95",  X"98",  X"9C",  X"9E",  X"9F",  X"A2",  X"A3",  X"A2",  X"A3",  X"A4",  X"A2",  X"A0",  X"99",  X"94",  X"94",  X"95",  X"94",  X"90",  X"8C",  X"8D",  X"94",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",
--66
  X"96",  X"97",  X"97",  X"97",  X"97",  X"96",  X"9B",  X"95",  X"94",  X"91",  X"8C",  X"89",  X"8E",  X"93",  X"97",  X"92",  X"95",  X"94",  X"94",  X"93",  X"93",  X"93",  X"91",  X"92",  X"91",  X"8F",  X"8C",  X"8C",  X"88",  X"85",  X"7F",  X"79",
  X"76",  X"72",  X"72",  X"71",  X"69",  X"76",  X"7F",  X"85",  X"84",  X"84",  X"84",  X"84",  X"84",  X"87",  X"88",  X"8A",  X"8E",  X"85",  X"7D",  X"7B",  X"7A",  X"78",  X"79",  X"78",  X"77",  X"79",  X"79",  X"79",  X"78",  X"78",  X"7B",  X"7A",
  X"79",  X"7D",  X"85",  X"84",  X"82",  X"82",  X"7D",  X"83",  X"82",  X"84",  X"83",  X"86",  X"8A",  X"89",  X"8C",  X"8B",  X"87",  X"85",  X"85",  X"84",  X"7D",  X"76",  X"72",  X"71",  X"74",  X"7F",  X"88",  X"8A",  X"8B",  X"8D",  X"8E",  X"91",
  X"95",  X"98",  X"9C",  X"9E",  X"9F",  X"A3",  X"A2",  X"A2",  X"A3",  X"A3",  X"A2",  X"A0",  X"99",  X"96",  X"97",  X"9B",  X"9B",  X"96",  X"90",  X"91",  X"93",  X"94",  X"95",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",
--67
  X"96",  X"97",  X"97",  X"97",  X"97",  X"9A",  X"98",  X"97",  X"93",  X"90",  X"86",  X"89",  X"8D",  X"93",  X"92",  X"93",  X"92",  X"93",  X"92",  X"92",  X"92",  X"93",  X"91",  X"91",  X"91",  X"8F",  X"8D",  X"8C",  X"88",  X"85",  X"80",  X"7B",
  X"7A",  X"79",  X"72",  X"70",  X"72",  X"81",  X"87",  X"87",  X"88",  X"87",  X"87",  X"87",  X"87",  X"90",  X"91",  X"92",  X"92",  X"8A",  X"7F",  X"7D",  X"7A",  X"7A",  X"79",  X"79",  X"7A",  X"79",  X"79",  X"79",  X"79",  X"77",  X"7B",  X"7A",
  X"7B",  X"7D",  X"81",  X"86",  X"88",  X"86",  X"85",  X"84",  X"84",  X"87",  X"93",  X"8C",  X"8C",  X"89",  X"87",  X"86",  X"86",  X"85",  X"84",  X"83",  X"7D",  X"73",  X"70",  X"6F",  X"73",  X"7C",  X"89",  X"89",  X"8D",  X"8E",  X"8E",  X"8F",
  X"93",  X"97",  X"9B",  X"9E",  X"9D",  X"9F",  X"A3",  X"A2",  X"A2",  X"A2",  X"A1",  X"A0",  X"9C",  X"9B",  X"9D",  X"A1",  X"A0",  X"97",  X"96",  X"96",  X"97",  X"93",  X"93",  X"97",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",
--68
  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"96",  X"94",  X"90",  X"85",  X"89",  X"8C",  X"94",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"93",  X"91",  X"91",  X"91",  X"8F",  X"8D",  X"8C",  X"88",  X"85",  X"80",  X"7B",
  X"7D",  X"7B",  X"74",  X"72",  X"73",  X"82",  X"8A",  X"89",  X"89",  X"89",  X"88",  X"8A",  X"8A",  X"8F",  X"93",  X"94",  X"93",  X"8B",  X"86",  X"83",  X"7A",  X"79",  X"7B",  X"79",  X"7B",  X"7A",  X"7B",  X"7C",  X"85",  X"7A",  X"7B",  X"7B",
  X"6E",  X"74",  X"78",  X"80",  X"85",  X"88",  X"8D",  X"9E",  X"8F",  X"97",  X"98",  X"8C",  X"89",  X"81",  X"81",  X"81",  X"85",  X"86",  X"86",  X"83",  X"7D",  X"74",  X"70",  X"70",  X"75",  X"82",  X"89",  X"8A",  X"8D",  X"8E",  X"8F",  X"90",
  X"93",  X"97",  X"9B",  X"9C",  X"9D",  X"9D",  X"9F",  X"A5",  X"A5",  X"A2",  X"A1",  X"A0",  X"9D",  X"9D",  X"9F",  X"A6",  X"A5",  X"A2",  X"9D",  X"98",  X"98",  X"93",  X"94",  X"96",  X"98",  X"97",  X"99",  X"98",  X"98",  X"98",  X"98",  X"99",
--69
  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"96",  X"94",  X"90",  X"88",  X"87",  X"8D",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"91",  X"92",  X"8F",  X"8D",  X"8C",  X"88",  X"85",  X"80",  X"7E",
  X"7E",  X"7B",  X"75",  X"74",  X"77",  X"83",  X"8C",  X"8C",  X"8C",  X"8D",  X"8E",  X"8F",  X"8F",  X"8F",  X"91",  X"91",  X"92",  X"90",  X"8E",  X"85",  X"7C",  X"79",  X"7B",  X"7B",  X"7C",  X"7C",  X"7B",  X"7B",  X"80",  X"7D",  X"7C",  X"7C",
  X"76",  X"79",  X"7C",  X"7B",  X"81",  X"83",  X"84",  X"82",  X"85",  X"84",  X"82",  X"81",  X"7F",  X"81",  X"79",  X"75",  X"78",  X"7E",  X"7C",  X"7B",  X"7B",  X"78",  X"76",  X"72",  X"75",  X"83",  X"89",  X"8A",  X"8D",  X"8D",  X"8E",  X"90",
  X"93",  X"98",  X"9B",  X"9C",  X"9D",  X"9D",  X"9F",  X"A4",  X"A6",  X"A2",  X"A2",  X"9C",  X"9A",  X"9C",  X"A0",  X"A7",  X"A9",  X"A6",  X"9F",  X"9B",  X"9B",  X"97",  X"95",  X"92",  X"95",  X"96",  X"97",  X"97",  X"98",  X"98",  X"98",  X"99",
--70
  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"97",  X"95",  X"8F",  X"87",  X"87",  X"8F",  X"93",  X"93",  X"92",  X"92",  X"92",  X"92",  X"92",  X"91",  X"92",  X"91",  X"91",  X"91",  X"8E",  X"8C",  X"8A",  X"88",  X"84",  X"80",  X"7A",
  X"7D",  X"7C",  X"79",  X"79",  X"7C",  X"85",  X"8D",  X"8C",  X"8E",  X"8E",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"95",  X"92",  X"90",  X"86",  X"81",  X"7D",  X"79",  X"7A",  X"7A",  X"7A",  X"7C",  X"7C",  X"7E",  X"7D",  X"7F",  X"7C",
  X"7C",  X"7D",  X"7C",  X"7E",  X"7E",  X"7E",  X"80",  X"7D",  X"7E",  X"7D",  X"7E",  X"7D",  X"7D",  X"7E",  X"7A",  X"73",  X"72",  X"76",  X"78",  X"7A",  X"7A",  X"7A",  X"7A",  X"76",  X"79",  X"82",  X"89",  X"8A",  X"8C",  X"8D",  X"8D",  X"8D",
  X"92",  X"96",  X"99",  X"9A",  X"9C",  X"9C",  X"9E",  X"A2",  X"A4",  X"A4",  X"A1",  X"97",  X"98",  X"9A",  X"A3",  X"A6",  X"A8",  X"A8",  X"A4",  X"A1",  X"9F",  X"99",  X"96",  X"90",  X"8F",  X"92",  X"94",  X"96",  X"98",  X"98",  X"98",  X"99",
--71
  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"97",  X"96",  X"8D",  X"87",  X"87",  X"90",  X"90",  X"90",  X"91",  X"90",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"8E",  X"8B",  X"8B",  X"85",  X"84",  X"83",  X"84",
  X"85",  X"7C",  X"77",  X"78",  X"7E",  X"86",  X"8B",  X"8F",  X"90",  X"92",  X"92",  X"92",  X"94",  X"96",  X"97",  X"94",  X"95",  X"95",  X"94",  X"8C",  X"88",  X"7C",  X"7A",  X"79",  X"78",  X"7B",  X"7C",  X"7B",  X"7C",  X"7B",  X"7B",  X"7C",
  X"79",  X"7B",  X"7C",  X"7E",  X"7E",  X"7D",  X"7F",  X"7E",  X"7F",  X"7E",  X"7E",  X"7E",  X"7E",  X"7E",  X"7C",  X"73",  X"71",  X"6F",  X"74",  X"78",  X"7A",  X"79",  X"7A",  X"77",  X"7C",  X"85",  X"8B",  X"8A",  X"8C",  X"8C",  X"8E",  X"8E",
  X"92",  X"96",  X"99",  X"9B",  X"9C",  X"9D",  X"9E",  X"A1",  X"A1",  X"A0",  X"9D",  X"96",  X"96",  X"9B",  X"A2",  X"A7",  X"A9",  X"A7",  X"A4",  X"A3",  X"9F",  X"9A",  X"95",  X"90",  X"8B",  X"91",  X"92",  X"95",  X"98",  X"99",  X"98",  X"99",
--72
  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"95",  X"91",  X"8A",  X"89",  X"91",  X"92",  X"8F",  X"8F",  X"8F",  X"91",  X"90",  X"90",  X"90",  X"91",  X"90",  X"8F",  X"8F",  X"8D",  X"8B",  X"8A",  X"84",  X"83",  X"84",  X"85",
  X"82",  X"82",  X"77",  X"7D",  X"84",  X"89",  X"8E",  X"92",  X"93",  X"94",  X"96",  X"96",  X"97",  X"98",  X"98",  X"99",  X"97",  X"97",  X"96",  X"96",  X"8B",  X"83",  X"7A",  X"75",  X"74",  X"78",  X"79",  X"79",  X"79",  X"79",  X"79",  X"78",
  X"77",  X"7A",  X"7B",  X"7B",  X"7D",  X"7D",  X"7D",  X"7C",  X"7C",  X"78",  X"7C",  X"7C",  X"7E",  X"7C",  X"7A",  X"73",  X"71",  X"71",  X"75",  X"78",  X"7A",  X"7A",  X"7A",  X"72",  X"7C",  X"84",  X"88",  X"89",  X"8A",  X"8A",  X"8B",  X"8D",
  X"91",  X"97",  X"99",  X"9A",  X"9A",  X"9B",  X"9B",  X"9D",  X"9B",  X"9A",  X"97",  X"8D",  X"98",  X"9D",  X"A3",  X"A6",  X"A6",  X"A6",  X"A4",  X"A5",  X"A1",  X"9C",  X"95",  X"90",  X"8E",  X"95",  X"96",  X"97",  X"98",  X"98",  X"98",  X"99",
--73
  X"97",  X"97",  X"97",  X"97",  X"97",  X"96",  X"97",  X"96",  X"96",  X"93",  X"8C",  X"89",  X"8C",  X"91",  X"8F",  X"8C",  X"8D",  X"8F",  X"90",  X"8F",  X"8F",  X"8F",  X"8E",  X"8E",  X"8D",  X"8B",  X"89",  X"89",  X"82",  X"82",  X"84",  X"86",
  X"83",  X"82",  X"83",  X"86",  X"88",  X"8B",  X"8E",  X"92",  X"92",  X"94",  X"96",  X"97",  X"98",  X"99",  X"98",  X"99",  X"98",  X"99",  X"97",  X"97",  X"90",  X"88",  X"7D",  X"76",  X"71",  X"6F",  X"72",  X"77",  X"78",  X"76",  X"76",  X"77",
  X"75",  X"79",  X"78",  X"79",  X"79",  X"7A",  X"7B",  X"7C",  X"79",  X"76",  X"7A",  X"7B",  X"7B",  X"7A",  X"7A",  X"74",  X"79",  X"7A",  X"7F",  X"7F",  X"7E",  X"7B",  X"7C",  X"79",  X"7E",  X"83",  X"87",  X"88",  X"88",  X"88",  X"8A",  X"8D",
  X"91",  X"95",  X"97",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9B",  X"98",  X"90",  X"8F",  X"9C",  X"9D",  X"A3",  X"A5",  X"A4",  X"A5",  X"A5",  X"A3",  X"A2",  X"9C",  X"97",  X"93",  X"92",  X"96",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",
--74
  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"96",  X"95",  X"94",  X"8C",  X"89",  X"87",  X"8D",  X"8D",  X"8D",  X"8D",  X"8E",  X"8C",  X"8D",  X"8C",  X"8C",  X"8A",  X"88",  X"88",  X"87",  X"86",  X"85",  X"83",  X"83",  X"82",  X"82",
  X"83",  X"7B",  X"85",  X"89",  X"8C",  X"8D",  X"90",  X"92",  X"94",  X"94",  X"95",  X"96",  X"97",  X"98",  X"99",  X"99",  X"98",  X"9B",  X"98",  X"98",  X"96",  X"8F",  X"84",  X"7B",  X"72",  X"6E",  X"6C",  X"6E",  X"71",  X"73",  X"6F",  X"70",
  X"73",  X"75",  X"74",  X"72",  X"74",  X"76",  X"76",  X"78",  X"77",  X"71",  X"77",  X"79",  X"79",  X"73",  X"73",  X"75",  X"78",  X"81",  X"7F",  X"80",  X"80",  X"7D",  X"7E",  X"80",  X"80",  X"81",  X"83",  X"85",  X"85",  X"86",  X"88",  X"8A",
  X"8F",  X"92",  X"96",  X"98",  X"97",  X"99",  X"97",  X"99",  X"96",  X"8F",  X"8F",  X"93",  X"9B",  X"9E",  X"A3",  X"A5",  X"A4",  X"A5",  X"A2",  X"A3",  X"A3",  X"9E",  X"96",  X"93",  X"94",  X"96",  X"97",  X"99",  X"99",  X"9A",  X"99",  X"99",
--75
  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"95",  X"94",  X"90",  X"8A",  X"85",  X"8B",  X"8D",  X"8D",  X"8C",  X"8D",  X"8D",  X"8C",  X"8C",  X"8C",  X"89",  X"87",  X"87",  X"85",  X"84",  X"83",  X"83",  X"82",  X"81",  X"81",
  X"81",  X"7B",  X"87",  X"8C",  X"8E",  X"91",  X"92",  X"93",  X"93",  X"94",  X"95",  X"96",  X"96",  X"99",  X"9D",  X"9D",  X"9A",  X"9C",  X"97",  X"98",  X"9A",  X"90",  X"89",  X"7E",  X"78",  X"70",  X"69",  X"6A",  X"6B",  X"6C",  X"6B",  X"6A",
  X"6B",  X"6C",  X"73",  X"6F",  X"71",  X"74",  X"78",  X"78",  X"74",  X"72",  X"79",  X"78",  X"79",  X"75",  X"6F",  X"75",  X"78",  X"85",  X"83",  X"82",  X"82",  X"7F",  X"7F",  X"7F",  X"80",  X"82",  X"82",  X"83",  X"84",  X"85",  X"86",  X"87",
  X"8D",  X"91",  X"93",  X"98",  X"98",  X"98",  X"97",  X"95",  X"93",  X"8D",  X"8C",  X"93",  X"9A",  X"9D",  X"A2",  X"A6",  X"A7",  X"A6",  X"A3",  X"A3",  X"A3",  X"9E",  X"97",  X"93",  X"94",  X"95",  X"98",  X"99",  X"99",  X"9A",  X"99",  X"99",
--76
  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"96",  X"95",  X"95",  X"93",  X"90",  X"8A",  X"85",  X"85",  X"8A",  X"8D",  X"8C",  X"8C",  X"8B",  X"8A",  X"8A",  X"89",  X"85",  X"84",  X"82",  X"84",  X"83",  X"82",  X"81",  X"81",  X"81",
  X"80",  X"7F",  X"86",  X"8C",  X"8F",  X"92",  X"92",  X"92",  X"92",  X"94",  X"95",  X"95",  X"95",  X"99",  X"9C",  X"9D",  X"99",  X"9C",  X"9A",  X"97",  X"99",  X"93",  X"8C",  X"85",  X"82",  X"79",  X"6D",  X"69",  X"65",  X"68",  X"66",  X"64",
  X"6C",  X"6C",  X"73",  X"72",  X"78",  X"79",  X"7D",  X"7F",  X"7F",  X"7C",  X"79",  X"78",  X"79",  X"77",  X"76",  X"77",  X"78",  X"82",  X"81",  X"81",  X"7E",  X"7F",  X"7D",  X"7D",  X"7E",  X"80",  X"81",  X"83",  X"83",  X"86",  X"86",  X"86",
  X"8A",  X"8D",  X"8E",  X"94",  X"9A",  X"97",  X"93",  X"92",  X"8D",  X"8B",  X"8C",  X"94",  X"99",  X"9D",  X"A1",  X"A2",  X"A3",  X"A3",  X"A1",  X"A1",  X"A1",  X"9D",  X"97",  X"93",  X"95",  X"93",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",
--77
  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"96",  X"95",  X"94",  X"93",  X"94",  X"90",  X"83",  X"81",  X"88",  X"8A",  X"8B",  X"8A",  X"8A",  X"8A",  X"89",  X"88",  X"86",  X"84",  X"83",  X"83",  X"82",  X"82",  X"82",  X"81",  X"82",
  X"81",  X"81",  X"85",  X"8C",  X"8F",  X"91",  X"92",  X"94",  X"95",  X"94",  X"95",  X"95",  X"95",  X"97",  X"99",  X"99",  X"99",  X"9C",  X"97",  X"99",  X"97",  X"92",  X"8B",  X"88",  X"85",  X"80",  X"7A",  X"73",  X"71",  X"6D",  X"6C",  X"59",
  X"6A",  X"6C",  X"71",  X"73",  X"77",  X"7B",  X"7F",  X"80",  X"80",  X"80",  X"7D",  X"80",  X"7F",  X"7F",  X"78",  X"76",  X"77",  X"7D",  X"7C",  X"7C",  X"77",  X"79",  X"7B",  X"7F",  X"7E",  X"80",  X"82",  X"83",  X"83",  X"85",  X"85",  X"85",
  X"86",  X"85",  X"89",  X"8E",  X"93",  X"8E",  X"8D",  X"89",  X"87",  X"8E",  X"93",  X"98",  X"99",  X"9D",  X"A1",  X"A2",  X"A2",  X"A3",  X"A2",  X"A1",  X"A0",  X"9D",  X"97",  X"93",  X"94",  X"93",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",
--78
  X"98",  X"99",  X"97",  X"97",  X"97",  X"97",  X"96",  X"95",  X"95",  X"94",  X"93",  X"94",  X"91",  X"86",  X"83",  X"7E",  X"87",  X"8A",  X"89",  X"88",  X"89",  X"87",  X"86",  X"84",  X"84",  X"83",  X"83",  X"82",  X"82",  X"81",  X"81",  X"81",
  X"80",  X"81",  X"86",  X"8C",  X"90",  X"92",  X"92",  X"93",  X"95",  X"95",  X"95",  X"96",  X"96",  X"96",  X"97",  X"97",  X"99",  X"9B",  X"99",  X"98",  X"96",  X"92",  X"8C",  X"89",  X"86",  X"83",  X"7E",  X"7A",  X"77",  X"77",  X"75",  X"73",
  X"71",  X"6D",  X"70",  X"72",  X"75",  X"76",  X"7B",  X"7B",  X"7D",  X"7B",  X"7B",  X"80",  X"7D",  X"81",  X"79",  X"73",  X"77",  X"76",  X"75",  X"75",  X"6A",  X"70",  X"7B",  X"7E",  X"7F",  X"81",  X"81",  X"82",  X"83",  X"84",  X"85",  X"85",
  X"84",  X"84",  X"87",  X"8A",  X"8C",  X"8B",  X"8B",  X"88",  X"8D",  X"90",  X"95",  X"98",  X"9A",  X"9D",  X"A0",  X"A1",  X"A2",  X"A1",  X"A1",  X"A1",  X"A0",  X"9C",  X"95",  X"8F",  X"90",  X"94",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",
--79
  X"99",  X"99",  X"97",  X"97",  X"97",  X"97",  X"96",  X"94",  X"94",  X"93",  X"92",  X"91",  X"91",  X"8A",  X"86",  X"82",  X"83",  X"87",  X"88",  X"89",  X"8A",  X"87",  X"86",  X"84",  X"83",  X"81",  X"81",  X"81",  X"81",  X"80",  X"80",  X"7E",
  X"7D",  X"7F",  X"86",  X"8C",  X"8F",  X"91",  X"91",  X"92",  X"93",  X"95",  X"94",  X"95",  X"96",  X"96",  X"95",  X"95",  X"96",  X"96",  X"96",  X"97",  X"95",  X"92",  X"8C",  X"88",  X"88",  X"86",  X"81",  X"7A",  X"77",  X"76",  X"75",  X"74",
  X"74",  X"71",  X"72",  X"72",  X"72",  X"76",  X"78",  X"75",  X"77",  X"78",  X"78",  X"79",  X"7B",  X"7A",  X"7A",  X"6C",  X"72",  X"72",  X"6E",  X"6E",  X"6D",  X"72",  X"79",  X"7F",  X"7F",  X"80",  X"81",  X"82",  X"82",  X"82",  X"82",  X"83",
  X"83",  X"82",  X"85",  X"8A",  X"89",  X"8A",  X"8A",  X"8B",  X"8E",  X"90",  X"95",  X"98",  X"9A",  X"9D",  X"9F",  X"A0",  X"A1",  X"A1",  X"9F",  X"9F",  X"9E",  X"9C",  X"91",  X"8D",  X"8C",  X"97",  X"98",  X"99",  X"99",  X"99",  X"99",  X"9A",
--80
  X"99",  X"99",  X"97",  X"97",  X"97",  X"97",  X"96",  X"93",  X"93",  X"91",  X"90",  X"8F",  X"8F",  X"8B",  X"84",  X"84",  X"83",  X"87",  X"87",  X"86",  X"86",  X"86",  X"86",  X"85",  X"84",  X"81",  X"80",  X"80",  X"81",  X"80",  X"80",  X"7F",
  X"7A",  X"7E",  X"86",  X"8B",  X"8F",  X"90",  X"91",  X"91",  X"92",  X"94",  X"94",  X"95",  X"95",  X"95",  X"95",  X"94",  X"96",  X"94",  X"96",  X"96",  X"95",  X"92",  X"8C",  X"88",  X"89",  X"87",  X"85",  X"7B",  X"78",  X"77",  X"77",  X"76",
  X"76",  X"76",  X"75",  X"74",  X"74",  X"74",  X"71",  X"70",  X"70",  X"76",  X"70",  X"76",  X"73",  X"70",  X"6F",  X"6B",  X"6B",  X"6B",  X"6A",  X"6C",  X"6F",  X"70",  X"7D",  X"7F",  X"7F",  X"80",  X"80",  X"81",  X"81",  X"82",  X"82",  X"82",
  X"81",  X"81",  X"7D",  X"7A",  X"7B",  X"87",  X"89",  X"8A",  X"8E",  X"90",  X"95",  X"98",  X"9A",  X"9C",  X"9E",  X"9F",  X"A0",  X"A0",  X"9E",  X"9E",  X"9D",  X"97",  X"8F",  X"8E",  X"8D",  X"96",  X"98",  X"99",  X"99",  X"99",  X"99",  X"9A",
--81
  X"99",  X"98",  X"97",  X"97",  X"97",  X"97",  X"95",  X"93",  X"91",  X"90",  X"8F",  X"8E",  X"8B",  X"89",  X"85",  X"85",  X"82",  X"85",  X"85",  X"86",  X"86",  X"86",  X"85",  X"84",  X"82",  X"7E",  X"7E",  X"7F",  X"7F",  X"7E",  X"7E",  X"7E",
  X"7D",  X"7C",  X"80",  X"8A",  X"8E",  X"91",  X"90",  X"91",  X"91",  X"93",  X"93",  X"92",  X"93",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"92",  X"8D",  X"89",  X"8B",  X"88",  X"86",  X"81",  X"7C",  X"7D",  X"7B",  X"7B",
  X"7A",  X"79",  X"78",  X"78",  X"78",  X"76",  X"72",  X"74",  X"76",  X"77",  X"73",  X"74",  X"72",  X"72",  X"71",  X"6D",  X"69",  X"6C",  X"6B",  X"6E",  X"71",  X"70",  X"7C",  X"7F",  X"80",  X"80",  X"80",  X"80",  X"81",  X"81",  X"82",  X"81",
  X"80",  X"7B",  X"6C",  X"7B",  X"83",  X"88",  X"8A",  X"8B",  X"8D",  X"91",  X"95",  X"98",  X"9A",  X"9C",  X"9D",  X"9F",  X"A1",  X"A1",  X"9E",  X"9D",  X"9C",  X"92",  X"8C",  X"8D",  X"8D",  X"95",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",
--82
  X"99",  X"98",  X"97",  X"97",  X"97",  X"97",  X"95",  X"93",  X"90",  X"90",  X"8D",  X"8C",  X"89",  X"83",  X"86",  X"82",  X"80",  X"7C",  X"81",  X"83",  X"8A",  X"89",  X"87",  X"84",  X"81",  X"7F",  X"7F",  X"7F",  X"7E",  X"7E",  X"7E",  X"7E",
  X"7C",  X"78",  X"7A",  X"83",  X"8A",  X"8F",  X"90",  X"91",  X"91",  X"90",  X"92",  X"92",  X"93",  X"93",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"95",  X"92",  X"8D",  X"8D",  X"8F",  X"89",  X"86",  X"82",  X"80",  X"7E",  X"7C",  X"7B",
  X"7A",  X"7B",  X"7B",  X"7B",  X"7B",  X"79",  X"77",  X"7B",  X"7C",  X"7C",  X"7A",  X"7B",  X"7B",  X"79",  X"77",  X"78",  X"77",  X"75",  X"72",  X"73",  X"75",  X"76",  X"7D",  X"7F",  X"81",  X"80",  X"7F",  X"80",  X"80",  X"7F",  X"7C",  X"7B",
  X"7B",  X"7A",  X"76",  X"85",  X"85",  X"87",  X"8B",  X"89",  X"8D",  X"92",  X"95",  X"98",  X"9A",  X"9D",  X"9D",  X"9F",  X"A0",  X"9E",  X"9D",  X"9A",  X"95",  X"8D",  X"8C",  X"8C",  X"8F",  X"96",  X"98",  X"99",  X"9A",  X"99",  X"99",  X"9A",
--83
  X"9A",  X"9A",  X"99",  X"98",  X"98",  X"97",  X"95",  X"93",  X"91",  X"90",  X"8C",  X"8B",  X"88",  X"83",  X"83",  X"82",  X"7D",  X"79",  X"7A",  X"80",  X"83",  X"85",  X"86",  X"83",  X"80",  X"7E",  X"7E",  X"7D",  X"7B",  X"7A",  X"7B",  X"7B",
  X"7A",  X"76",  X"78",  X"7B",  X"84",  X"8A",  X"8D",  X"8D",  X"8E",  X"8F",  X"92",  X"92",  X"92",  X"92",  X"94",  X"94",  X"94",  X"94",  X"94",  X"94",  X"92",  X"8D",  X"8B",  X"8C",  X"93",  X"8E",  X"8D",  X"86",  X"82",  X"80",  X"80",  X"7C",
  X"7C",  X"7C",  X"7C",  X"7D",  X"7D",  X"7D",  X"7E",  X"7D",  X"7D",  X"7D",  X"7E",  X"7D",  X"7B",  X"7D",  X"7C",  X"7C",  X"7C",  X"7C",  X"7B",  X"7B",  X"7B",  X"7C",  X"7E",  X"81",  X"84",  X"82",  X"82",  X"82",  X"80",  X"7C",  X"7C",  X"76",
  X"6F",  X"75",  X"7C",  X"86",  X"86",  X"87",  X"8A",  X"88",  X"8E",  X"91",  X"95",  X"99",  X"9B",  X"9C",  X"9D",  X"9E",  X"9E",  X"9F",  X"9F",  X"9A",  X"91",  X"8E",  X"8D",  X"8B",  X"93",  X"97",  X"99",  X"9A",  X"9B",  X"9B",  X"9A",  X"9A",
--84
  X"9A",  X"99",  X"9A",  X"99",  X"96",  X"97",  X"96",  X"93",  X"90",  X"8F",  X"8D",  X"8A",  X"88",  X"83",  X"83",  X"7F",  X"7A",  X"75",  X"74",  X"76",  X"7E",  X"81",  X"7F",  X"83",  X"80",  X"7D",  X"7D",  X"7B",  X"7A",  X"79",  X"79",  X"79",
  X"78",  X"77",  X"76",  X"75",  X"79",  X"7F",  X"88",  X"8C",  X"8F",  X"8F",  X"91",  X"91",  X"91",  X"93",  X"93",  X"94",  X"93",  X"94",  X"94",  X"93",  X"90",  X"8C",  X"89",  X"8E",  X"96",  X"97",  X"95",  X"8F",  X"86",  X"83",  X"82",  X"7E",
  X"7C",  X"7E",  X"7E",  X"7E",  X"7E",  X"7E",  X"80",  X"80",  X"80",  X"7E",  X"7E",  X"7F",  X"7E",  X"7D",  X"7E",  X"7E",  X"7E",  X"7F",  X"7F",  X"7E",  X"80",  X"83",  X"85",  X"86",  X"86",  X"84",  X"84",  X"84",  X"7F",  X"7C",  X"7E",  X"84",
  X"7C",  X"7B",  X"7E",  X"84",  X"86",  X"88",  X"8A",  X"8B",  X"8F",  X"90",  X"95",  X"99",  X"9D",  X"9D",  X"9E",  X"9F",  X"9E",  X"9E",  X"9E",  X"9A",  X"92",  X"8F",  X"8D",  X"8C",  X"91",  X"97",  X"97",  X"9B",  X"9B",  X"9B",  X"9A",  X"9A",
--85
  X"9A",  X"99",  X"98",  X"98",  X"98",  X"97",  X"95",  X"93",  X"90",  X"8F",  X"8D",  X"8A",  X"88",  X"84",  X"80",  X"7B",  X"76",  X"6F",  X"70",  X"6B",  X"71",  X"7A",  X"7C",  X"7D",  X"7F",  X"7C",  X"7A",  X"78",  X"79",  X"78",  X"78",  X"79",
  X"78",  X"76",  X"72",  X"72",  X"70",  X"78",  X"81",  X"8C",  X"8C",  X"8F",  X"8F",  X"90",  X"90",  X"91",  X"91",  X"91",  X"91",  X"91",  X"92",  X"92",  X"92",  X"8D",  X"87",  X"8E",  X"96",  X"96",  X"99",  X"96",  X"91",  X"8C",  X"86",  X"81",
  X"81",  X"81",  X"7F",  X"80",  X"80",  X"80",  X"7F",  X"7F",  X"7F",  X"7E",  X"80",  X"80",  X"7E",  X"80",  X"80",  X"7F",  X"80",  X"80",  X"80",  X"81",  X"82",  X"84",  X"86",  X"87",  X"87",  X"87",  X"88",  X"87",  X"82",  X"81",  X"82",  X"83",
  X"85",  X"85",  X"85",  X"87",  X"87",  X"87",  X"89",  X"89",  X"8D",  X"92",  X"95",  X"99",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"99",  X"93",  X"8E",  X"8E",  X"8B",  X"90",  X"95",  X"97",  X"99",  X"99",  X"9A",  X"9A",  X"9A",
--86
  X"98",  X"99",  X"99",  X"98",  X"98",  X"96",  X"95",  X"92",  X"90",  X"8F",  X"8C",  X"89",  X"87",  X"84",  X"7F",  X"7C",  X"79",  X"6E",  X"6D",  X"6B",  X"69",  X"6F",  X"6E",  X"73",  X"79",  X"7A",  X"76",  X"76",  X"76",  X"75",  X"75",  X"75",
  X"74",  X"73",  X"69",  X"6B",  X"6B",  X"75",  X"7F",  X"86",  X"8B",  X"8E",  X"8E",  X"8F",  X"8F",  X"90",  X"91",  X"91",  X"91",  X"91",  X"92",  X"91",  X"92",  X"8A",  X"84",  X"90",  X"96",  X"99",  X"9C",  X"9B",  X"98",  X"92",  X"89",  X"86",
  X"85",  X"85",  X"81",  X"82",  X"82",  X"82",  X"81",  X"81",  X"80",  X"81",  X"80",  X"80",  X"81",  X"80",  X"80",  X"7F",  X"80",  X"80",  X"82",  X"84",  X"84",  X"85",  X"87",  X"89",  X"8A",  X"8A",  X"8D",  X"8D",  X"89",  X"8A",  X"8B",  X"88",
  X"8A",  X"89",  X"89",  X"88",  X"88",  X"88",  X"88",  X"87",  X"8D",  X"92",  X"95",  X"99",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9E",  X"9D",  X"99",  X"94",  X"8B",  X"8C",  X"8D",  X"91",  X"93",  X"96",  X"98",  X"98",  X"9B",  X"9A",  X"9B",
--87
  X"99",  X"97",  X"97",  X"97",  X"96",  X"96",  X"95",  X"93",  X"91",  X"8F",  X"87",  X"89",  X"86",  X"84",  X"7D",  X"7A",  X"79",  X"6F",  X"6F",  X"6B",  X"63",  X"6C",  X"68",  X"68",  X"6C",  X"70",  X"6F",  X"70",  X"6A",  X"6A",  X"69",  X"69",
  X"67",  X"66",  X"64",  X"66",  X"65",  X"68",  X"75",  X"82",  X"8C",  X"8C",  X"8D",  X"8E",  X"8F",  X"90",  X"91",  X"91",  X"90",  X"91",  X"92",  X"90",  X"91",  X"88",  X"87",  X"88",  X"90",  X"98",  X"9B",  X"9B",  X"97",  X"94",  X"8C",  X"8A",
  X"8A",  X"89",  X"85",  X"85",  X"84",  X"85",  X"85",  X"85",  X"84",  X"82",  X"81",  X"82",  X"80",  X"81",  X"80",  X"7F",  X"80",  X"80",  X"83",  X"86",  X"85",  X"86",  X"88",  X"8A",  X"8B",  X"8C",  X"8E",  X"91",  X"8E",  X"8A",  X"8B",  X"89",
  X"8A",  X"89",  X"8A",  X"89",  X"89",  X"85",  X"82",  X"81",  X"8C",  X"92",  X"95",  X"99",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9A",  X"93",  X"89",  X"89",  X"8E",  X"92",  X"91",  X"94",  X"95",  X"96",  X"9A",  X"9A",  X"9B",
--88
  X"99",  X"99",  X"99",  X"98",  X"97",  X"97",  X"96",  X"94",  X"93",  X"91",  X"88",  X"8A",  X"87",  X"85",  X"7D",  X"78",  X"78",  X"70",  X"6E",  X"6D",  X"66",  X"66",  X"67",  X"67",  X"66",  X"6A",  X"6A",  X"6C",  X"67",  X"67",  X"68",  X"68",
  X"66",  X"65",  X"65",  X"69",  X"65",  X"66",  X"6B",  X"7A",  X"88",  X"8B",  X"8D",  X"8F",  X"8F",  X"90",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"88",  X"84",  X"82",  X"8A",  X"98",  X"9B",  X"9A",  X"99",  X"98",  X"92",  X"92",
  X"90",  X"8C",  X"8A",  X"8A",  X"89",  X"88",  X"86",  X"87",  X"86",  X"84",  X"83",  X"83",  X"83",  X"83",  X"83",  X"84",  X"84",  X"83",  X"85",  X"85",  X"88",  X"88",  X"8A",  X"8C",  X"8E",  X"90",  X"90",  X"92",  X"90",  X"8F",  X"8D",  X"89",
  X"89",  X"89",  X"89",  X"89",  X"88",  X"81",  X"80",  X"81",  X"8C",  X"91",  X"95",  X"98",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9D",  X"9C",  X"9A",  X"90",  X"89",  X"8A",  X"8D",  X"8F",  X"91",  X"94",  X"94",  X"97",  X"9A",  X"9A",  X"9B",
--89
  X"99",  X"99",  X"99",  X"99",  X"97",  X"97",  X"96",  X"96",  X"94",  X"92",  X"90",  X"8A",  X"8A",  X"84",  X"7C",  X"78",  X"76",  X"71",  X"6E",  X"70",  X"6D",  X"6A",  X"66",  X"68",  X"69",  X"6B",  X"6B",  X"6B",  X"68",  X"68",  X"68",  X"66",
  X"66",  X"64",  X"66",  X"65",  X"63",  X"66",  X"68",  X"70",  X"81",  X"89",  X"8D",  X"8F",  X"8F",  X"90",  X"91",  X"90",  X"90",  X"91",  X"91",  X"91",  X"90",  X"8A",  X"87",  X"83",  X"86",  X"8E",  X"9A",  X"99",  X"9A",  X"97",  X"94",  X"92",
  X"8F",  X"8F",  X"8F",  X"8D",  X"8C",  X"8B",  X"8C",  X"8C",  X"8B",  X"89",  X"87",  X"86",  X"86",  X"86",  X"86",  X"84",  X"85",  X"86",  X"88",  X"89",  X"8E",  X"8F",  X"8E",  X"90",  X"91",  X"93",  X"95",  X"96",  X"94",  X"92",  X"8F",  X"8B",
  X"8B",  X"8B",  X"8B",  X"8B",  X"89",  X"83",  X"81",  X"81",  X"8C",  X"91",  X"95",  X"98",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"97",  X"8C",  X"85",  X"87",  X"8C",  X"8D",  X"91",  X"94",  X"95",  X"97",  X"9A",  X"9A",  X"9B",
--90
  X"98",  X"99",  X"99",  X"99",  X"98",  X"98",  X"97",  X"97",  X"95",  X"95",  X"90",  X"90",  X"8D",  X"85",  X"83",  X"80",  X"7B",  X"70",  X"71",  X"70",  X"6E",  X"6B",  X"67",  X"68",  X"6C",  X"6D",  X"6D",  X"6B",  X"6D",  X"6E",  X"6B",  X"66",
  X"6B",  X"68",  X"67",  X"66",  X"65",  X"66",  X"68",  X"6B",  X"79",  X"87",  X"8E",  X"8E",  X"8F",  X"90",  X"90",  X"8F",  X"8F",  X"90",  X"91",  X"91",  X"91",  X"8E",  X"8A",  X"82",  X"83",  X"84",  X"8F",  X"96",  X"98",  X"99",  X"99",  X"94",
  X"94",  X"94",  X"91",  X"8F",  X"8F",  X"8F",  X"8F",  X"8E",  X"8D",  X"8D",  X"8D",  X"8B",  X"8B",  X"8A",  X"88",  X"89",  X"8A",  X"8B",  X"8C",  X"8B",  X"8F",  X"8E",  X"8D",  X"90",  X"93",  X"95",  X"97",  X"99",  X"98",  X"95",  X"8E",  X"8D",
  X"8A",  X"8B",  X"8B",  X"8B",  X"8B",  X"84",  X"82",  X"81",  X"8C",  X"91",  X"94",  X"97",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"99",  X"97",  X"90",  X"87",  X"81",  X"81",  X"86",  X"8A",  X"92",  X"94",  X"95",  X"97",  X"9A",  X"9A",  X"9B",
--91
  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"96",  X"97",  X"96",  X"94",  X"92",  X"91",  X"8F",  X"8E",  X"8B",  X"88",  X"83",  X"7C",  X"78",  X"7D",  X"7D",  X"70",  X"76",  X"77",  X"75",  X"75",  X"76",  X"77",  X"77",  X"74",  X"75",
  X"73",  X"71",  X"6F",  X"70",  X"6D",  X"6C",  X"68",  X"69",  X"71",  X"7B",  X"84",  X"8D",  X"8E",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"8B",  X"7E",  X"83",  X"82",  X"88",  X"90",  X"98",  X"97",  X"99",  X"95",
  X"94",  X"92",  X"92",  X"92",  X"91",  X"91",  X"92",  X"90",  X"8F",  X"8F",  X"8F",  X"8D",  X"8D",  X"8C",  X"8C",  X"8C",  X"8B",  X"8C",  X"8D",  X"8C",  X"90",  X"8F",  X"8E",  X"91",  X"94",  X"97",  X"97",  X"98",  X"9A",  X"97",  X"92",  X"8D",
  X"8C",  X"8B",  X"8B",  X"8B",  X"8B",  X"88",  X"83",  X"87",  X"8C",  X"92",  X"94",  X"96",  X"96",  X"96",  X"96",  X"9A",  X"9A",  X"94",  X"90",  X"84",  X"7F",  X"7F",  X"7D",  X"80",  X"84",  X"92",  X"95",  X"96",  X"98",  X"9A",  X"9A",  X"9B",
--92
  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"98",  X"98",  X"95",  X"92",  X"91",  X"92",  X"94",  X"92",  X"8B",  X"88",  X"83",  X"8B",  X"8D",  X"86",  X"84",  X"84",  X"84",  X"81",  X"81",  X"80",
  X"7F",  X"7D",  X"7A",  X"79",  X"75",  X"72",  X"6D",  X"6D",  X"6E",  X"71",  X"7E",  X"89",  X"8E",  X"8E",  X"8F",  X"90",  X"90",  X"90",  X"90",  X"90",  X"90",  X"91",  X"8C",  X"87",  X"82",  X"81",  X"82",  X"8C",  X"96",  X"98",  X"97",  X"96",
  X"98",  X"95",  X"94",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"8F",  X"90",  X"8D",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8F",  X"8D",  X"8F",  X"8F",  X"90",  X"91",  X"92",  X"95",  X"95",  X"97",  X"98",  X"96",  X"96",  X"90",
  X"8F",  X"8C",  X"8C",  X"8B",  X"8B",  X"8A",  X"88",  X"89",  X"8C",  X"92",  X"93",  X"95",  X"95",  X"95",  X"95",  X"97",  X"94",  X"8B",  X"81",  X"7F",  X"7B",  X"76",  X"7C",  X"84",  X"86",  X"8F",  X"95",  X"96",  X"98",  X"9A",  X"9A",  X"9B",
--93
  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"98",  X"98",  X"98",  X"97",  X"97",  X"96",  X"97",  X"91",  X"95",  X"96",  X"8F",  X"94",  X"93",  X"94",  X"90",  X"91",  X"90",  X"8D",  X"8A",  X"87",  X"85",
  X"83",  X"7F",  X"7D",  X"77",  X"76",  X"74",  X"71",  X"6F",  X"73",  X"76",  X"7B",  X"83",  X"87",  X"8A",  X"8E",  X"8F",  X"8F",  X"8F",  X"8F",  X"90",  X"90",  X"92",  X"8E",  X"84",  X"85",  X"80",  X"81",  X"8B",  X"92",  X"96",  X"96",  X"96",
  X"95",  X"92",  X"93",  X"92",  X"91",  X"91",  X"91",  X"90",  X"90",  X"8F",  X"90",  X"8E",  X"8F",  X"8F",  X"8F",  X"8F",  X"8F",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8F",  X"91",  X"91",  X"92",  X"92",  X"94",  X"95",  X"94",  X"95",  X"90",
  X"8F",  X"8C",  X"8B",  X"8B",  X"8B",  X"8A",  X"89",  X"89",  X"8C",  X"91",  X"91",  X"94",  X"95",  X"97",  X"96",  X"92",  X"8A",  X"82",  X"7B",  X"78",  X"77",  X"7D",  X"80",  X"82",  X"87",  X"90",  X"94",  X"96",  X"98",  X"9A",  X"9A",  X"9B",
--94
  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"99",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"94",  X"95",  X"94",  X"94",  X"94",  X"93",  X"92",  X"91",  X"8F",  X"8B",  X"86",
  X"85",  X"80",  X"7B",  X"78",  X"76",  X"73",  X"73",  X"71",  X"76",  X"75",  X"7C",  X"7D",  X"80",  X"83",  X"89",  X"8D",  X"8C",  X"8E",  X"8D",  X"8D",  X"8F",  X"90",  X"90",  X"89",  X"83",  X"7E",  X"80",  X"85",  X"8B",  X"90",  X"93",  X"97",
  X"93",  X"92",  X"92",  X"92",  X"91",  X"91",  X"92",  X"91",  X"91",  X"8E",  X"91",  X"8F",  X"8F",  X"8F",  X"8F",  X"8F",  X"8F",  X"8E",  X"8E",  X"8E",  X"8E",  X"8F",  X"8F",  X"90",  X"90",  X"90",  X"91",  X"91",  X"93",  X"95",  X"94",  X"91",
  X"8F",  X"8B",  X"8C",  X"89",  X"8A",  X"8A",  X"89",  X"89",  X"8E",  X"90",  X"91",  X"93",  X"94",  X"92",  X"8C",  X"82",  X"7D",  X"76",  X"72",  X"76",  X"79",  X"79",  X"7C",  X"7D",  X"8C",  X"92",  X"95",  X"96",  X"98",  X"9C",  X"9B",  X"9C",
--95
  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"98",  X"98",  X"94",  X"99",  X"98",  X"97",  X"98",  X"97",  X"95",  X"94",  X"90",  X"8D",  X"88",
  X"85",  X"80",  X"7C",  X"77",  X"76",  X"6D",  X"6C",  X"6D",  X"74",  X"76",  X"78",  X"7B",  X"77",  X"7C",  X"7C",  X"80",  X"83",  X"84",  X"89",  X"89",  X"8A",  X"8B",  X"8F",  X"89",  X"85",  X"81",  X"7E",  X"7E",  X"84",  X"8C",  X"90",  X"94",
  X"92",  X"92",  X"92",  X"91",  X"91",  X"91",  X"91",  X"91",  X"91",  X"90",  X"91",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8F",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8F",  X"90",  X"90",  X"90",  X"91",  X"91",  X"92",  X"95",  X"94",  X"91",
  X"8F",  X"8C",  X"8A",  X"89",  X"8A",  X"8A",  X"89",  X"89",  X"8E",  X"8E",  X"8C",  X"8C",  X"85",  X"80",  X"7B",  X"7A",  X"7B",  X"74",  X"6F",  X"77",  X"7B",  X"7B",  X"7E",  X"8B",  X"92",  X"93",  X"95",  X"98",  X"9B",  X"9C",  X"9B",  X"9C",
--96
  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"99",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"98",  X"98",  X"98",  X"97",  X"96",  X"97",  X"96",  X"91",  X"93",  X"8D",
  X"87",  X"81",  X"7A",  X"77",  X"73",  X"6C",  X"68",  X"66",  X"66",  X"6D",  X"79",  X"7F",  X"83",  X"80",  X"7E",  X"7B",  X"7B",  X"7A",  X"75",  X"71",  X"79",  X"7E",  X"80",  X"83",  X"7F",  X"80",  X"7D",  X"7D",  X"7F",  X"83",  X"86",  X"8C",
  X"8F",  X"91",  X"91",  X"91",  X"90",  X"91",  X"92",  X"91",  X"91",  X"90",  X"90",  X"8E",  X"8D",  X"8E",  X"8E",  X"8E",  X"8E",  X"8D",  X"8D",  X"8D",  X"8D",  X"8E",  X"8E",  X"8F",  X"90",  X"90",  X"90",  X"91",  X"93",  X"94",  X"93",  X"91",
  X"8F",  X"8C",  X"88",  X"89",  X"88",  X"87",  X"86",  X"86",  X"86",  X"85",  X"82",  X"7E",  X"77",  X"75",  X"73",  X"73",  X"76",  X"73",  X"72",  X"77",  X"7A",  X"7D",  X"81",  X"8E",  X"91",  X"95",  X"96",  X"9A",  X"9C",  X"9C",  X"9C",  X"9C",
--97
  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"98",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"99",  X"96",  X"97",  X"94",  X"97",  X"95",  X"95",  X"90",
  X"87",  X"81",  X"7D",  X"76",  X"6E",  X"6C",  X"66",  X"62",  X"62",  X"63",  X"6F",  X"7E",  X"84",  X"82",  X"80",  X"7C",  X"7C",  X"7B",  X"7C",  X"75",  X"7A",  X"7A",  X"7C",  X"7E",  X"7C",  X"7D",  X"7C",  X"7C",  X"7C",  X"7E",  X"82",  X"86",
  X"8E",  X"91",  X"90",  X"90",  X"90",  X"8F",  X"91",  X"90",  X"90",  X"90",  X"90",  X"8F",  X"8F",  X"8E",  X"8E",  X"8E",  X"8E",  X"8D",  X"8D",  X"8D",  X"8D",  X"8E",  X"8E",  X"8F",  X"90",  X"90",  X"90",  X"91",  X"91",  X"92",  X"92",  X"91",
  X"91",  X"8B",  X"86",  X"88",  X"86",  X"86",  X"84",  X"85",  X"86",  X"81",  X"78",  X"77",  X"74",  X"74",  X"72",  X"75",  X"79",  X"78",  X"76",  X"7B",  X"80",  X"84",  X"87",  X"92",  X"92",  X"96",  X"99",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",
--98
  X"98",  X"99",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"98",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"99",  X"97",  X"97",  X"96",  X"96",  X"96",  X"95",  X"92",
  X"8D",  X"86",  X"7A",  X"77",  X"77",  X"64",  X"63",  X"62",  X"60",  X"60",  X"68",  X"78",  X"80",  X"82",  X"88",  X"7A",  X"7C",  X"7F",  X"7B",  X"7A",  X"7B",  X"78",  X"79",  X"76",  X"76",  X"78",  X"79",  X"77",  X"74",  X"74",  X"7B",  X"80",
  X"8A",  X"8B",  X"8D",  X"8F",  X"8F",  X"91",  X"90",  X"90",  X"90",  X"91",  X"90",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8E",  X"8D",  X"8D",  X"8D",  X"8D",  X"8E",  X"8F",  X"8F",  X"8F",  X"8F",  X"90",  X"90",  X"8F",  X"90",  X"8F",
  X"8B",  X"88",  X"85",  X"85",  X"85",  X"85",  X"82",  X"82",  X"7D",  X"76",  X"75",  X"76",  X"74",  X"72",  X"72",  X"78",  X"7B",  X"7C",  X"7E",  X"84",  X"88",  X"8D",  X"91",  X"97",  X"98",  X"99",  X"99",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",
--99
  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9B",  X"9A",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"97",  X"99",  X"96",  X"96",  X"96",  X"92",
  X"92",  X"90",  X"86",  X"7E",  X"7A",  X"6C",  X"66",  X"64",  X"67",  X"62",  X"62",  X"6A",  X"75",  X"7D",  X"87",  X"85",  X"80",  X"7F",  X"7C",  X"7B",  X"7A",  X"7A",  X"79",  X"78",  X"77",  X"77",  X"77",  X"76",  X"76",  X"75",  X"78",  X"7B",
  X"7F",  X"86",  X"8C",  X"8E",  X"8F",  X"8E",  X"8D",  X"8F",  X"90",  X"90",  X"8F",  X"8C",  X"8D",  X"8D",  X"8D",  X"8D",  X"8C",  X"8C",  X"8C",  X"8C",  X"8C",  X"8D",  X"8E",  X"8F",  X"8F",  X"8E",  X"8F",  X"8F",  X"8E",  X"8F",  X"8D",  X"8C",
  X"8A",  X"84",  X"84",  X"84",  X"85",  X"82",  X"78",  X"74",  X"72",  X"70",  X"71",  X"74",  X"74",  X"76",  X"74",  X"78",  X"7C",  X"80",  X"84",  X"89",  X"8E",  X"92",  X"96",  X"9A",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",
--100
  X"9B",  X"9B",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9B",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"97",  X"9A",  X"97",  X"97",  X"96",  X"96",
  X"95",  X"94",  X"92",  X"8C",  X"7E",  X"72",  X"6C",  X"6C",  X"69",  X"65",  X"65",  X"65",  X"69",  X"75",  X"80",  X"84",  X"81",  X"7F",  X"7B",  X"7B",  X"7B",  X"79",  X"7A",  X"77",  X"77",  X"77",  X"77",  X"76",  X"77",  X"77",  X"79",  X"79",
  X"79",  X"7F",  X"7F",  X"82",  X"86",  X"88",  X"8B",  X"8D",  X"8E",  X"90",  X"8F",  X"8C",  X"8C",  X"8B",  X"8B",  X"8B",  X"8B",  X"8B",  X"8B",  X"8B",  X"8C",  X"8C",  X"8C",  X"8D",  X"8C",  X"8B",  X"8B",  X"8A",  X"8A",  X"88",  X"85",  X"81",
  X"7E",  X"7E",  X"82",  X"81",  X"80",  X"7A",  X"74",  X"70",  X"6F",  X"70",  X"73",  X"75",  X"77",  X"79",  X"7A",  X"7F",  X"81",  X"88",  X"90",  X"93",  X"95",  X"96",  X"98",  X"99",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",
--101
  X"98",  X"99",  X"99",  X"99",  X"98",  X"99",  X"98",  X"99",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9D",  X"99",  X"99",  X"99",  X"99",  X"98",  X"98",  X"98",  X"98",  X"97",  X"98",  X"97",  X"96",
  X"95",  X"95",  X"94",  X"93",  X"8D",  X"7E",  X"79",  X"6D",  X"6C",  X"69",  X"68",  X"66",  X"67",  X"69",  X"70",  X"7A",  X"7E",  X"7D",  X"7A",  X"79",  X"7A",  X"7B",  X"7B",  X"78",  X"77",  X"77",  X"77",  X"77",  X"77",  X"77",  X"79",  X"7A",
  X"7B",  X"7D",  X"7D",  X"7D",  X"7E",  X"7F",  X"83",  X"84",  X"84",  X"88",  X"8A",  X"89",  X"8B",  X"8B",  X"8A",  X"8A",  X"8A",  X"8A",  X"8A",  X"8A",  X"8A",  X"8A",  X"8C",  X"8A",  X"85",  X"80",  X"78",  X"73",  X"79",  X"79",  X"78",  X"76",
  X"78",  X"78",  X"79",  X"78",  X"74",  X"71",  X"71",  X"70",  X"71",  X"71",  X"75",  X"77",  X"7A",  X"7C",  X"83",  X"89",  X"8B",  X"8E",  X"93",  X"95",  X"96",  X"98",  X"9A",  X"9A",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",
--102
  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"98",  X"9A",  X"99",  X"99",  X"99",  X"98",  X"99",  X"9A",  X"99",  X"9A",  X"9A",  X"9A",  X"99",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"98",  X"98",  X"98",  X"97",  X"99",  X"99",  X"98",  X"97",
  X"97",  X"96",  X"95",  X"94",  X"92",  X"93",  X"89",  X"7D",  X"72",  X"6C",  X"6A",  X"67",  X"68",  X"68",  X"6A",  X"6D",  X"71",  X"76",  X"79",  X"7B",  X"79",  X"7B",  X"7B",  X"7B",  X"78",  X"77",  X"77",  X"77",  X"78",  X"77",  X"79",  X"7A",
  X"7A",  X"7C",  X"7B",  X"7B",  X"7C",  X"7B",  X"7B",  X"7A",  X"7A",  X"78",  X"7A",  X"7F",  X"87",  X"87",  X"89",  X"88",  X"89",  X"89",  X"8A",  X"88",  X"89",  X"88",  X"84",  X"7B",  X"76",  X"73",  X"6C",  X"67",  X"63",  X"6D",  X"6E",  X"70",
  X"67",  X"69",  X"6C",  X"71",  X"6E",  X"70",  X"70",  X"71",  X"76",  X"77",  X"7D",  X"80",  X"81",  X"83",  X"88",  X"8D",  X"8E",  X"92",  X"96",  X"97",  X"98",  X"99",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",
--103
  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"97",  X"98",  X"97",  X"98",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"99",  X"9B",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"96",
  X"98",  X"97",  X"97",  X"95",  X"95",  X"94",  X"91",  X"8D",  X"86",  X"7A",  X"75",  X"6C",  X"6A",  X"6B",  X"6B",  X"6D",  X"6B",  X"6E",  X"71",  X"6D",  X"6A",  X"77",  X"78",  X"78",  X"77",  X"77",  X"77",  X"77",  X"77",  X"76",  X"77",  X"78",
  X"7A",  X"7B",  X"7A",  X"7B",  X"7A",  X"79",  X"78",  X"77",  X"72",  X"6A",  X"6B",  X"6E",  X"72",  X"79",  X"80",  X"84",  X"85",  X"85",  X"86",  X"85",  X"82",  X"7E",  X"78",  X"76",  X"71",  X"71",  X"6D",  X"73",  X"70",  X"70",  X"70",  X"74",
  X"73",  X"72",  X"70",  X"78",  X"77",  X"79",  X"7B",  X"81",  X"83",  X"85",  X"86",  X"87",  X"88",  X"8A",  X"8E",  X"90",  X"90",  X"95",  X"98",  X"98",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",
--104
  X"98",  X"97",  X"98",  X"97",  X"96",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"9A",  X"97",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",
  X"97",  X"96",  X"96",  X"96",  X"95",  X"96",  X"94",  X"91",  X"8F",  X"8A",  X"81",  X"75",  X"6F",  X"6E",  X"6D",  X"6E",  X"6C",  X"6D",  X"72",  X"6F",  X"70",  X"71",  X"69",  X"69",  X"68",  X"75",  X"73",  X"75",  X"72",  X"72",  X"73",  X"71",
  X"72",  X"73",  X"72",  X"75",  X"79",  X"79",  X"71",  X"6F",  X"69",  X"65",  X"67",  X"67",  X"67",  X"6A",  X"71",  X"74",  X"75",  X"76",  X"78",  X"79",  X"74",  X"75",  X"75",  X"73",  X"72",  X"73",  X"72",  X"75",  X"77",  X"76",  X"76",  X"76",
  X"7A",  X"7B",  X"7E",  X"7F",  X"81",  X"85",  X"87",  X"86",  X"87",  X"88",  X"88",  X"89",  X"8A",  X"8A",  X"8F",  X"91",  X"94",  X"96",  X"97",  X"9A",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--105
  X"98",  X"95",  X"99",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"98",  X"9B",  X"94",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"9A",  X"9A",  X"9A",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",
  X"97",  X"97",  X"97",  X"96",  X"97",  X"96",  X"95",  X"93",  X"93",  X"92",  X"90",  X"8C",  X"8A",  X"86",  X"7F",  X"7E",  X"7F",  X"7C",  X"78",  X"77",  X"7A",  X"7A",  X"77",  X"75",  X"69",  X"6C",  X"6A",  X"6D",  X"69",  X"6F",  X"6E",  X"6E",
  X"6B",  X"6C",  X"6E",  X"6F",  X"70",  X"6D",  X"6B",  X"6B",  X"69",  X"66",  X"65",  X"66",  X"67",  X"6B",  X"70",  X"70",  X"71",  X"73",  X"73",  X"72",  X"73",  X"74",  X"75",  X"76",  X"75",  X"77",  X"78",  X"7C",  X"7F",  X"7E",  X"7F",  X"8B",
  X"8B",  X"8C",  X"8C",  X"8B",  X"8A",  X"8B",  X"8B",  X"8A",  X"8B",  X"8B",  X"8B",  X"8C",  X"8E",  X"90",  X"93",  X"94",  X"96",  X"97",  X"98",  X"9A",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--106
  X"98",  X"97",  X"9A",  X"99",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"9A",  X"97",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9B",  X"9B",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",
  X"96",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"97",  X"95",  X"96",  X"95",  X"95",  X"94",  X"92",  X"8C",  X"92",  X"8C",  X"8A",  X"8E",  X"8A",  X"89",  X"89",  X"88",  X"84",  X"85",  X"81",  X"7A",  X"71",  X"6E",  X"6C",  X"69",  X"68",
  X"66",  X"69",  X"6B",  X"6C",  X"69",  X"6A",  X"6B",  X"6B",  X"6A",  X"6A",  X"6C",  X"6C",  X"6D",  X"6E",  X"6F",  X"70",  X"72",  X"70",  X"71",  X"76",  X"78",  X"77",  X"7A",  X"7D",  X"82",  X"85",  X"8A",  X"8D",  X"8E",  X"92",  X"93",  X"94",
  X"94",  X"94",  X"95",  X"95",  X"93",  X"93",  X"90",  X"90",  X"91",  X"91",  X"91",  X"91",  X"92",  X"93",  X"93",  X"96",  X"99",  X"99",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--107
  X"98",  X"99",  X"98",  X"98",  X"99",  X"98",  X"99",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"9A",  X"9B",  X"9C",  X"98",  X"98",  X"98",  X"97",  X"98",  X"98",  X"98",
  X"97",  X"98",  X"98",  X"98",  X"98",  X"97",  X"97",  X"96",  X"97",  X"95",  X"95",  X"96",  X"95",  X"96",  X"95",  X"96",  X"94",  X"94",  X"97",  X"93",  X"94",  X"95",  X"94",  X"94",  X"94",  X"94",  X"91",  X"8C",  X"89",  X"7D",  X"78",  X"72",
  X"71",  X"6F",  X"6F",  X"71",  X"69",  X"6B",  X"6D",  X"6D",  X"6E",  X"6E",  X"70",  X"73",  X"70",  X"71",  X"71",  X"76",  X"78",  X"79",  X"7C",  X"87",  X"86",  X"88",  X"8B",  X"8E",  X"8F",  X"94",  X"95",  X"97",  X"99",  X"9B",  X"9B",  X"9B",
  X"9C",  X"9A",  X"9C",  X"99",  X"99",  X"98",  X"97",  X"96",  X"97",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"9A",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",
--108
  X"98",  X"98",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"9A",  X"94",  X"99",  X"98",  X"98",  X"99",  X"98",  X"99",  X"98",  X"98",  X"98",  X"98",  X"98",
  X"98",  X"98",  X"98",  X"98",  X"98",  X"96",  X"98",  X"98",  X"97",  X"96",  X"96",  X"96",  X"97",  X"97",  X"97",  X"96",  X"97",  X"97",  X"97",  X"96",  X"96",  X"96",  X"96",  X"96",  X"96",  X"94",  X"94",  X"95",  X"94",  X"93",  X"93",  X"91",
  X"8E",  X"88",  X"82",  X"84",  X"80",  X"7D",  X"7E",  X"80",  X"7E",  X"7C",  X"7E",  X"7F",  X"85",  X"89",  X"8D",  X"8D",  X"8F",  X"91",  X"91",  X"94",  X"93",  X"94",  X"95",  X"97",  X"98",  X"9A",  X"9C",  X"9B",  X"9C",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",  X"9C",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9E",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",
--109
  X"98",  X"98",  X"97",  X"97",  X"97",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"97",  X"9A",  X"99",  X"99",  X"99",  X"97",  X"97",  X"96",  X"98",  X"98",  X"98",  X"98",
  X"98",  X"99",  X"98",  X"98",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"96",  X"99",  X"99",  X"96",  X"98",  X"99",  X"98",  X"98",  X"99",  X"99",  X"99",  X"98",  X"97",  X"97",  X"97",  X"98",  X"96",  X"95",  X"98",
  X"97",  X"96",  X"97",  X"93",  X"92",  X"92",  X"94",  X"94",  X"93",  X"93",  X"96",  X"95",  X"96",  X"97",  X"97",  X"99",  X"98",  X"99",  X"9A",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9E",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9B",  X"9C",  X"9C",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9F",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",
--110
  X"98",  X"98",  X"98",  X"97",  X"97",  X"98",  X"98",  X"98",  X"97",  X"98",  X"98",  X"97",  X"98",  X"99",  X"9A",  X"98",  X"99",  X"9A",  X"99",  X"9B",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9A",  X"99",  X"98",  X"99",  X"99",  X"99",  X"99",
  X"99",  X"99",  X"98",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"97",  X"99",  X"99",  X"98",  X"98",  X"97",  X"98",  X"98",  X"98",  X"98",  X"98",  X"98",  X"97",  X"98",  X"98",  X"98",  X"99",  X"99",  X"98",
  X"99",  X"99",  X"99",  X"98",  X"98",  X"96",  X"98",  X"98",  X"97",  X"97",  X"98",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"99",  X"9A",  X"9B",  X"9B",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9E",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",
--111
  X"99",  X"99",  X"98",  X"98",  X"99",  X"98",  X"99",  X"99",  X"99",  X"98",  X"99",  X"99",  X"98",  X"99",  X"9A",  X"98",  X"99",  X"99",  X"97",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",
  X"99",  X"99",  X"99",  X"99",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"97",  X"97",  X"97",  X"97",  X"97",  X"98",  X"99",  X"99",  X"99",  X"99",
  X"99",  X"99",  X"99",  X"99",  X"99",  X"98",  X"99",  X"99",  X"99",  X"98",  X"98",  X"99",  X"99",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9B",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9E",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--112
  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"97",  X"9A",  X"98",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"99",  X"9A",  X"A0",  X"9B",  X"9B",  X"9D",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9A",
  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",
  X"9A",  X"9A",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9B",  X"9B",  X"9B",  X"9D",  X"9D",  X"9C",  X"9C",  X"9E",  X"9D",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9E",  X"9E",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--113
  X"99",  X"9A",  X"99",  X"99",  X"9A",  X"9A",  X"9C",  X"9A",  X"9A",  X"98",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"A0",  X"9A",  X"9B",  X"9D",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9A",
  X"9A",  X"99",  X"9A",  X"9A",  X"9A",  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",
  X"9A",  X"9B",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9D",  X"9D",  X"9C",  X"9E",  X"9E",  X"9F",  X"9F",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9C",  X"9D",  X"9A",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--114
  X"99",  X"99",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9B",  X"9B",  X"99",  X"9A",  X"99",  X"99",  X"99",  X"9A",  X"9D",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9A",  X"9B",  X"9B",  X"9A",  X"9B",
  X"9B",  X"9A",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"98",  X"99",  X"99",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",
  X"98",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9F",  X"9D",  X"9B",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9F",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",
--115
  X"9A",  X"9A",  X"9A",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9A",  X"99",  X"99",  X"9A",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9B",  X"9B",
  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",
  X"9C",  X"9C",  X"9B",  X"9C",  X"9C",  X"9B",  X"9B",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
--116
  X"9A",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9A",  X"9B",  X"9A",  X"9A",  X"9A",  X"9B",  X"9D",  X"9D",  X"9C",  X"9C",  X"9F",  X"9E",  X"9D",  X"9D",  X"9D",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9B",
  X"9B",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9B",  X"9A",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",  X"9A",  X"9A",  X"9A",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9C",  X"9C",
  X"9D",  X"9B",  X"9C",  X"9D",  X"9D",  X"9B",  X"9B",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9F",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",
--117
  X"9C",  X"99",  X"9E",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9E",  X"9F",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",
  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9B",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9E",  X"96",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9C",  X"9C",
  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9A",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",
--118
  X"9C",  X"99",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9F",  X"9E",  X"9F",  X"A0",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",
  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9C",  X"9A",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9C",  X"9C",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9F",  X"9B",  X"9C",  X"9C",  X"9C",
--119
  X"9C",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9E",  X"9A",  X"9E",  X"9E",  X"9F",  X"9E",  X"A0",  X"9F",  X"A0",  X"A0",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",
  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9E",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9A",  X"9B",  X"9C",  X"9C",
--120
  X"9C",  X"9C",  X"9B",  X"9B",  X"9F",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9C",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"A1",  X"A0",  X"9F",  X"9F",  X"9F",  X"A0",  X"9F",  X"9E",  X"9D",  X"9E",  X"9E",  X"9E",  X"9C",
  X"9D",  X"9A",  X"9B",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",  X"9B",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9B",  X"9C",  X"9E",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9C",  X"9B",  X"9B",  X"9B",  X"9C",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9C",  X"9C",  X"9E",  X"9E",  X"9D",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"97",  X"9C",  X"9C",
--121
  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9D",  X"9D",  X"9C",  X"9B",  X"9A",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9E",  X"99",  X"9C",  X"9B",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9C",  X"9D",  X"9C",  X"9B",
--122
  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9B",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",  X"9D",  X"9E",
  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9E",  X"9E",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9B",  X"9D",  X"9B",  X"9C",  X"9B",  X"9A",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9B",
--123
  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9B",  X"9C",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",  X"9D",  X"9F",
  X"9B",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9E",  X"9D",  X"9E",  X"9D",  X"9E",  X"9E",  X"9F",  X"9F",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9A",  X"9C",  X"9C",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9C",  X"9C",  X"9C",  X"9E",  X"9F",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9B",  X"9D",  X"9C",  X"9B",
--124
  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9E",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9E",  X"9E",  X"9F",  X"9E",  X"9E",  X"9F",  X"9F",  X"9D",  X"9E",  X"9E",  X"9D",  X"9F",  X"A0",  X"9D",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9E",  X"9D",  X"9D",  X"9D",
  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9E",  X"9E",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",
--125
  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9F",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9F",  X"9F",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9B",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9E",
  X"9D",  X"9D",  X"9E",  X"9D",  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",
--126
  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"97",  X"9C",  X"9F",  X"9C",  X"9F",  X"9F",  X"96",  X"9E",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9F",  X"9D",  X"9E",  X"9D",  X"9F",  X"9D",  X"A1",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9D",  X"9C",  X"9C",  X"9C",  X"9D",  X"9F",  X"9F",  X"9C",
  X"9D",  X"9D",  X"9C",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9E",  X"9D",  X"9B",  X"9C",  X"9D",  X"9E",  X"9D",  X"9D",  X"9E",
  X"9E",  X"9C",  X"9D",  X"9F",  X"9D",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",
--127
  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9C",  X"9F",  X"9D",  X"9C",  X"9E",  X"9E",  X"9D",  X"9E",  X"9E",  X"9E",  X"9F",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9E",  X"9F",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9C",  X"9D",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9F",  X"9E",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9D",  X"9D",
  X"9E",  X"9B",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9C",  X"9B",
--128
  X"9C",  X"9C",  X"9A",  X"9F",  X"9A",  X"9C",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9F",  X"9F",  X"9C",  X"9C",  X"9D",  X"9C",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",
  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9E",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9B",  X"9D",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9C",  X"9C",  X"9B",  X"9B",  X"9C",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",
  X"9D",  X"9D",  X"9D",  X"9D",  X"9C",  X"9C",  X"9C",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9D",  X"9E",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9C",  X"9B",  X"9B",  X"9B",  X"9C",  X"9C",  X"9B",  X"9B",  X"9A"
  );                 								

type ram_type is array (16383 downto 0) of std_logic_vector(7 downto 0);
    signal RAM : ram_type;
	 signal c_rw,clk9 : std_logic:='0';
	 signal A,P,S,addr : std_logic_vector(13 downto 0);			-- 2^8	= 256
	 signal pixelin,stored_pixel : std_logic_vector(7 downto 0);
	 signal count,hcnt,vcnt,frame,lcnt,pcnt : integer:=0;
begin
-------------frame count---------------------------------------
framecount: process(rst,vsync)
begin
	if (rst='1') then
		frame<=0;
	elsif (vsync'event and vsync='1') then
		if (frame = 7) then
			frame <= 0;
		else
			frame <= frame + 1;
		end if;
	end if;
end process framecount;
---------------------------------------------------------------
linecount: process(rst,href)
begin
	if (rst='1') then
		lcnt<=0;
	elsif (href'event and href='1') then
		if (lcnt = 144) then
			lcnt <= 0;
		else
			lcnt <= lcnt + 1;
		end if;
	end if;
end process linecount;
-----------------------------------------------------------------------------------------------
store:process (rst,pclk,c_rw,c_yi,vsync,href)				--	process for storing pixels in RAM
	 begin
		  if (rst = '1') then
				A <= (others => '0');
				c_rw <= '0';
				pcnt<=0;
		  elsif (pclk'event and pclk = '1') then
				if (frame=1 and href='1') then
									if (pcnt= 176) then
										pcnt<= 0;
									else
										pcnt <= pcnt + 1;
									end if;
				end if;
				
					if (lcnt>8 and lcnt <= 136 and pcnt >24 and pcnt<=152) then
						if (c_rw = '0') then
							 if (A = "11111111111111") then
								c_rw <= '1';
								A <= (others => '0');
							 else
								 RAM(conv_integer(A)) <= c_yi;
								 A <= A + "00000000000001";
							 end if;
						end if;
					end if;
        end if;
   end process store;
------------------------------------------------------------------------------------------------
comp:process (rst,pclk,c_yi,c_rw)				--	process for comparing pixels	
    begin
		  if (rst = '1') then
				P <= (others => '0');
				S <= (others => '0');
				match <= (others => '0');
				lcd_en <= '1';
		  elsif (pclk'event and pclk = '1') then
				if (c_rw='1') then
					if (S = "11111111111111") then
						 match <= P;
						 lcd_en <= '0';
						 step_en <= '0';
					else 
						 stored_pixel <= ROM (conv_integer(S));
						 pixelin <= RAM (conv_integer(S));
						 S <= S + "00000000000001";
							 if (pixelin(7 downto 3) = stored_pixel(7 downto 3)) then			-----precision of 8 as only 5-bits compared lower 3-bits are left
							 P <= P + "00000000000001";						-- matched pixels	
							 end if;
					end if;
				end if;
        end if;
   end process comp;
------------------------------------------------------------------------------------------
clk_9MHz:process(clk,rst)						------ 9 MHz clk from 100 Mhz clock		i.e. 110ns from 10 ns
begin
	if (rst='1') then
		count <= 0;
		clk9 <= '0';
	elsif(clk'event and clk='1') then
		if (count = 4) then
			count <= 0;
			clk9 <= not clk9;
		else
			count <= count + 1;
		end if;
	end if;
end process clk_9MHz;	
--------------------------------------------------------------------------------------------
lcd:process (rst,clk9,hcnt,vcnt)				--	process for storing pixels in RAM
begin
			if (rst='1') then
				vcnt<=0;
				addr<= (others=>'0');
			elsif (clk9'event and clk9='1') then
				if (hcnt = 525) then
					hcnt <= 0;
					if (vcnt= 288) then
						vcnt<= 0;
					else
						vcnt <= vcnt + 1;
					end if;
				else
						hcnt <= hcnt + 1;
				end if;

				if (hcnt>180 and hcnt<=308 and vcnt>80 and vcnt<=208) then		---- 128*128 window
						s_yo <= rom(conv_integer(addr));
						c_yo <= ram(conv_integer(addr));
						addr <= addr + "00000000000001";
						if (addr ="11111111111111") then
							addr <= (others=>'0');
						end if;
				end if;
			end if;
end process lcd;
end compare2;

----------------------------------------------------------------------------
----------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-----------------------------------------------------------------------------
entity tft is
    Port ( RST,CLK : in std_logic;
	   TP_PENIRQ : in STD_LOGIC;
           TFT_CLK : out STD_LOGIC;
	   TFT_DISP : out STD_LOGIC;
           TFT_DE : out STD_LOGIC;
           TFT_EN : out STD_LOGIC;
	   LED_EN : out STD_LOGIC;							-------  100 Hz to 50 KHz
------------------------------------------------------         
	   TFT_CY,TFT_SY : in STD_LOGIC_VECTOR (7 downto 0);
-------------------------------------------------------			  
	   TFT_R : out STD_LOGIC_VECTOR (7 downto 0);
	   TFT_G : out STD_LOGIC_VECTOR (7 downto 0);
	   TFT_B : out STD_LOGIC_VECTOR (7 downto 0));
end tft;
-----------------------------------------------------------------------------
architecture tft2 of tft is
type state_type is (idle,pwr_up_t1,pwr_up_t2,pwr_up_t3,pwr_dw_t1,pwr_dw_t2,pwr_dw_t3,pwr_dw_t4,transmit,stop);
signal state: state_type;
signal clk9,clk_50,clk_de: std_logic;
signal hcnt,vcnt,led_cnt,count: integer;
begin
------------------------------------------------------------------------
clk_9MHz:process(clk,rst)						------ 9 MHz clk from 100 Mhz clock		i.e. 110ns from 10 ns
begin
	if (rst='1') then
		count <= 0;
		clk9 <= '0';
	elsif(clk'event and clk='1') then
		if (count = 4) then
			count <= 0;
			clk9 <= not clk9;
		else
			count <= count + 1;
		end if;
	end if;
end process clk_9MHz;
-----------------------------------------------------------------------------
clk_50KHz:process(clk,rst)						------ 50 KHz clk from 100 Mhz clock		i.e. 20 us from 10 ns
begin
	if (rst='1') then
		led_cnt <= 0;
		clk_50 <= '0';
	elsif(clk'event and clk='1') then
		if (led_cnt = 999) then
			led_cnt <= 0;
			clk_50 <= not clk_50;
		else
			led_cnt <= led_cnt + 1;
		end if;
	end if;
end process clk_50KHz;
------------------------------------------------------------------------------
clk_tv:process(clk9,rst,hcnt,vcnt)						------ DE SIGNAL
begin
	if (rst='1') then
		hcnt <= 0;
		vcnt <= 0;
		clk_de <= '0';
	elsif (clk9'event and clk9='1') then
		if (hcnt = 525) then
			hcnt <= 0;
				if (vcnt= 288) then
					vcnt<= 0;
				else
					vcnt <= vcnt + 1;
				end if;
		else
			hcnt <= hcnt + 1;
		end if;
		
		if (vcnt >16 and vcnt<=288) then 
				if (hcnt>45 and hcnt <= 525) then
					clk_de <= '1';
				else
					clk_de <= '0';
				end if;
		end if;
		
		if (TP_PENIRQ ='1') then
			if (hcnt>180 and hcnt<=308 and vcnt>80 and vcnt<=208) then		---- 128*128 window
				tft_r <= tft_cy;
				tft_g <= tft_cy;
				tft_b <= tft_cy;
			else
				tft_r <= "00000000";
				tft_g <= "00000000";
				tft_b <= "00000000";
			end if;
		else
			if (hcnt>180 and hcnt<=308 and vcnt>80 and vcnt<=208) then		---- 128*128 window
				tft_r <= tft_sy;
				tft_g <= tft_sy;
				tft_b <= tft_sy;
			else
				tft_r <= "00000000";
				tft_g <= "00000000";
				tft_b <= "00000000";
			end if;
		end if;		
	end if;
end process clk_tv;
-----------------------------------------------------------------------------
pwrup:process(rst,clk9,state)
begin
 if (rst='1') then
		tft_en <= '0';
		tft_disp <= '1';
		state <= idle;
 elsif (clk9'event and clk9 = '1') then
		if (state = idle) then
				tft_en <= '0';
				tft_disp <= '0';
				state <= pwr_up_t1;
		elsif (state = pwr_up_t1) then
				tft_en <= '1';
				tft_disp <= '0';
				state <= pwr_up_t2 after 100 ms;				----- t1= 0.05ms  to  100ms
		elsif (state = pwr_up_t2) then			
				tft_en <= '1';
				tft_disp <= '0';			
				state <= pwr_up_t3 after 200 ms;				----- t2= 0ms  to  200ms
		elsif (state = pwr_up_t3) then			
				tft_en <= '1';
				tft_disp <= '1';			
				state <= transmit after 160 ms;					----- t3= 160ms
		elsif (state = transmit) then			
				tft_en <= '1';
				tft_disp <= '1';			
		end if;
 end if;
end process pwrup;
-----------------------------------------------------------------------------
de:process (rst,clk_de,clk9,state)
begin
	if (rst='1') then
		tft_de <= '0';
		tft_clk <= '0';
	elsif (state = pwr_up_t2) then
		tft_de <= clk_de;
		tft_clk <= clk9;
	elsif (state = pwr_up_t3) then
		tft_de <= clk_de;
		tft_clk <= clk9;
	elsif (state = transmit) then
		tft_de <= clk_de;
		tft_clk <= clk9;
	elsif (state = pwr_dw_t3) then
		tft_de <= clk_de;
		tft_clk <= clk9;
	elsif (state = pwr_dw_t2) then
		tft_de <= clk_de;
		tft_clk <= clk9;
	else
		tft_de <= '0';
		tft_clk <= '0';
	end if;
end process de;	
-----------------------------------------------------------------------------
en:process (rst,state,clk_50)
begin
	if (rst='1') then
		led_en <= '0';
	elsif (state = transmit) then
		led_en <= not clk_50;
	else
		led_en <= '0';
	end if;	
end process en;
end tft2;

--------------------------------------------------------------------------------
--------------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity lcd is
 port(lcden,clk : in std_logic;
	din : in std_logic_vector (13 downto 0);
        rs: out std_logic;
	rw : out std_logic;
	d: out std_logic_vector (7 downto 0);
	e: out std_logic);
end lcd;

architecture lcd2 of lcd is
signal clock,k : std_logic:='0';
signal p,q,r,s : std_logic_vector (3 downto 0);

procedure ASCII (in1: in std_logic_vector(3 downto 0); out1: out std_logic_vector(7 downto 0)) is
begin
					if (in1 = "0000") then out1 := "00110000";
				elsif (in1 = "0001") then out1 := "00110001";
				elsif (in1 = "0010") then out1 := "00110010";
				elsif (in1 = "0011") then out1 := "00110011";
				elsif (in1 = "0100") then out1 := "00110100";
				elsif (in1 = "0101") then out1 := "00110101";
				elsif (in1 = "0110") then out1 := "00110110";
				elsif (in1 = "0111") then out1 := "00110111";
				elsif (in1 = "1000") then out1 := "00111000";
				elsif (in1 = "1001") then out1 := "00111001";
				elsif (in1 = "1010") then out1 := "01000001";
				elsif (in1 = "1011") then out1 := "01000010";
				elsif (in1 = "1100") then out1 := "01000011";
				elsif (in1 = "1101") then out1 := "01000100";
				elsif (in1 = "1110") then out1 := "01000101";
				elsif (in1 = "1111") then out1 := "01000110";
				end if;
end procedure;
begin
p <="00" & din (13 downto 12);
q <= din (11 downto 8);
r <= din (7 downto 4);
s <= din (3 downto 0);

process(lcden,clk)
variable x : integer range 0 to 40000000;
begin
if (lcden='1') then
	e<='0';
elsif (clk'event and clk='1') then
	x:=x+1;
     rw<='0';
		if x=40000000 then
			 clock<= not clock;
			 x:=0;
		end if;
    e<=clock;
end if;
end process;

process(lcden,clock)
variable n : integer range 0 to 10;
variable z : std_logic_vector (7 downto 0):="00000000";
begin
if (lcden='1') then
	n:=0;
	d<="00000000";
elsif (clock'event and clock ='1') then
   n:=n+1;
   if n=1 then
		rs<='0';
		d<="00011000";
   elsif n=2 then
		rs<='0';
		d<="00000110";
   elsif n=3 then
		rs<='0';
		d<="00001110";
   elsif n=4 then
		rs<='0';
		d<="11000000";
   elsif n=5 then
		rs<='0';
		d<="00000001";

   elsif n=6 then
		rs<='1';
		ASCII(p,z);
		d <= z;
   elsif n=7 then
		rs<='1';
		ASCII(q,z);
		d <= z;
   elsif n=8 then
		rs<='1';
		ASCII(r,z);
		d <= z;
   elsif n=9 then
		rs<='1';
		ASCII(s,z);
		d <= z;
	elsif n>9 then
	   rs<='0';
		d<="00011100";
   end if;
end if;
end process;
end lcd2;

---------------------------------------------------------------------------
---------------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity stepper is
	port (sen: in std_logic;
			clk: in std_logic;
			step: out std_logic_vector(3 downto 0));
end stepper;

architecture stepper2 of stepper is
	signal cnt : integer := 0;
	signal clkd: std_logic:='0';
begin
divider:process (sen,clk)
	begin
		if (sen='1') then
			cnt <= 0;
			clkd <= '0';
		elsif (clk'event and clk='1') then
			if (cnt = 24999999) then
				cnt <= 0;
				clkd <= not clkd;
			else
				cnt <= cnt + 1;
			end if;
		end if;	
end process divider;

steps:process (sen,clkd)
	variable s: std_logic_vector(3 downto 0):="1100";
	begin
		if (sen='1') then
			step <= "0000";
			s := "1100";
		elsif (clkd'event and clkd='1') then
			s := s (2 downto 0) & s(3);
			step <= s;
		end if;	
end process steps;
end stepper2;
----------------------------------------------------------------------------
----------------------------------------------------------------------------
 
Last edited:

the above code i posted is the full code of my project out of which i am unable to form the image
while rest of the code is working i.e. LCD,STEPPER and TFT is working except the camera.
however camera does give pixel output on led's and tft screen(image i posted before) and on lcd the number of matched pixels.

pls check the entity camera specially
the error may be in synchronizing pclk,href,vsync
or in writing data to ram
 

I do not have the time, equipment or motivation to test your code - thats your job. But I will pass comment on it with all the problems I can see from scanning it.

1. You're creating logic clocks. Dont do that, you'll have problems with timing. Use a single system clock and generate clock enables.
2. You're using href and vsync as clocks. This is even worse than #1. You should use the pclk as your reference clock for these signals and do a rising/falling edge detect on them (hint. you compare the input to a registered version).
3. I couldnt see a fifo or any other means to cross the cam data from the pclk domain to the clk domain. Why not?
4. If you have a pclk, why do you even need clk? Synchronising the output to the input is probably going to be the easiest way to get this thing working.
5. Have you tried writing a test pattern generator? This will help you understand the video format. You generate the href/vsync internally using counters and pipe them out to the LCD along with generated pattern data (easy start - just send the pcount and you'll get a greyscale increasing left -> right).

So, when you've answered these questions and fixed them, you may be further on your way.
 

Heh, that wall of code. @_@

Anyways, I half-half follow this thread. And basically all your problem stem from what TrickyDicky and joelby already pointed out. How you handle clocks.

What you don't want is <that_stuff_you_have_right_now>.

What you do want is one and only ONE system clock in your fpga design. And then ALL the camera signal fluff including sync and whatnot ... you treat it ALL as data. That way you don't need those crazy CLOCK_DEDICATED_ROUTE workarounds to get it to synthesize. Most of the time when you get those CLOCK_DEDICATED_ROUTE related warnings it means you are doing it wrong. There is a small class of problems where it is legit to do CLOCK_DEDICATED_ROUTE, but your type of design isn't one of them.

So again, you want only 1 system clock. You treat ALL your camera signals as data.
 

1. I am using system clock as "CLK" ,and detecting PCLK,HREF,VSYNC signals using edge detector circuit as you told (see entity top, there i created process for detecting rising, falling edge). After this i need to use the edge of HREF,VSYNC to know when pixel data is valid, that is why i used them as clocks. Also these are generated by camera so no need to create clocks of my own.

2. I was using CLK for edge detector instead of PCLK because CLK is faster PCLK. Now, i corrected it and using PCLK for edge detector circuit.

3. I am not using all data of image. I am only using some portion (i.e. the center portion) of image. The pixel data is passed to COMPARE module where it is stored in RAM at PCLK. Since TFT screen works at different frequency thus, data is read at different clock (i.e. CLK9). Also, the RAM is compared with ROM to count the number of matched pixels.

4. I need clk (i.e. FPGA's 100 Mhz clock) because i need to generate 50 hz, 10 Mhz clock for tft screen.

5. I tried writing a testbench for this and tested code on isim simulator. After verifying then only i moved to testing on FPGA.

Only problem is that image is not formed rest everything is working i checked stepper motor, lcd, tft screen they are working fine. i only need help i forming image, the error may be in entity camera or compare no need to look in other modules...
 
Last edited:

today i checked the PCLK, HREF and VSYNC signal on MSO. These signal are output by camera.

Vsync signal comes out to be 50 Hz
Href signal comes out to be 14.46 KHz
PCLK signal comes out to be nearly 16 KHz

i think this to be wrong as PCLK signal is expected to be 8.86 MHz by default, as per the datasheet of OV6620.
where the error may be?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top