Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[Synthesis] Commands for Logic ReTiming / ReBalancing between Registers

Status
Not open for further replies.

ivlsi

Advanced Member level 3
Joined
Feb 17, 2012
Messages
883
Helped
17
Reputation
32
Reaction score
16
Trophy points
1,298
Activity points
6,868
Hello All,

What's command usually used for the re-timing / re-balancing of the Logic between Registers during the synthesis?

Thank you!
 

In Synopsys DC:
compile_ultra -retime
or
optimize_registers
 
  • Like
Reactions: tariq786

    tariq786

    Points: 2
    Helpful Answer Positive Rating
    V

    Points: 2
    Helpful Answer Positive Rating
I knew oratie had an answer. In the past, he has always helped me with synthesis.

Thanks dude.
 

oratie,
Could I specify a path where I want re-timing will be performed or will it always be done for whole design?
Thank you
 

man optimize_registers
-clock clock_name
Specifies the name of the clock whose sequential cells are to be retimed.
-only_attributed_designs
Specifies that instead of the top-level design, only instances of those designs in the hierarchy below the current designs that
have the optimize_registers attribute set are retimed.


man set_optimize_registers
Sets the optimize_registers attribute on the specified designs
or on the current design, so that compile automatically invokes
the DC Ultra optimize_registers command to retime the design
during optimization.

It is easy to use built-in command "man" inside DesignCompiler session.
 
  • Like
Reactions: ivlsi and tariq786

    V

    Points: 2
    Helpful Answer Positive Rating

    tariq786

    Points: 2
    Helpful Answer Positive Rating

    ivlsi

    Points: 2
    Helpful Answer Positive Rating
Thank you oratie ! Great answer! Now it's clear!
BTW, would re-timing on a clock path invoke future problems with LEC (Logic Equivalent Checking) or the tool only checks equivalency on the end-points?
Should re-timing invoke any future problems in the ASIC flow?
Thank you!

- - - Updated - - -

oratie,
Could you also help with the commands, which should be used for logic cloning on the violated paths?
Thank you!
 

would re-timing on a clock path invoke future problems with LEC (Logic Equivalent Checking)
It's possible. Synopsys recommend to use side-file SVF (generated by DesignCompiler) for formal verification in Synopsys Formality. I do not know exactly about third-party tools.

Regarding logic cloning - I know only about register cloning:

set_register_replication
Sets the register_replication attribute on the specified sequen-
tial cells, thus allowing register replication on the objects.

Sets the register_replication attribute on object_list. This attribute
is used to specify how many copies the sequential cells are replicated.
When the option -num_copies is present, the register_replication
attribute value is the value of the option -num_copies. Otherwise, it
is the value of the option -max_fanout.
 
  • Like
Reactions: ivlsi

    ivlsi

    Points: 2
    Helpful Answer Positive Rating
Thank you!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top