Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Anyone use MATLAB in VLSI designing

Status
Not open for further replies.

tutx

Full Member level 2
Joined
Mar 4, 2004
Messages
120
Helped
13
Reputation
26
Reaction score
1
Trophy points
1,298
Activity points
884
converting matlab to rtl

Anyone use MATLAB tools in VLSI designing? It is more convenient than VHDL or not? Do you think it can replace VHDL design?

if you have any experience in thess fields, pls give me some advices, it will help me very much.

Tks and regards !
 

vlsi algorithms matlab

No, I thinh Matlab is not more convenience than HDL language but sometime it is easier for beginner.
HDL language is best language for analysis and synthersize chip, many IC design choose HDL language. You can write DSP function in Matlab after that you can change it to HDL but you have to optimize code.
 
vlsi tools for designing

I think MATLAB is only suitable for doing some system level verification. HDL is still needed for designing the chip. HDL models delay paths and the behaviour of the chip better.
 

what is the use of vlsi

Hallo tutx!

Yes, I use matlab for integrated circuit design. The task is to model complicated systems like pll, sigma-delta converters or dc-dc converter. Why? Because transitor level simulation would take to much time (in the order of month). After I have modeled the analog system I can vary different paramters like bandwidth, slew rate , etc.

I also used the filter design toolbox (with hdl) in order to get a very fast fir filter. Howver, I think matlab has a lot of benefits compared to ahdl, ads, etc. But, of course a lot of drawbacks.

Beside this way, has somenone experience with aptivia and its link to matlab?
 

vlsi design problem matlab

tutx said:
Anyone use MATLAB tools in VLSI designing? It is more convenient than VHDL or not? Do you think it can replace VHDL design?

if you have any experience in thess fields, pls give me some advices, it will help me very much.

Tks and regards !

MATLAB is a good tools in VLSI design , but its function is just limited in simulation,verification in systems level. VHDL is a general purpose tool: it can be a ducumentation tools, synthesizing tools, and simulation tool.MATLAB can complement VHDL but not replace VHDL, not even close.
We can say Verilog can replace VHDL, but we NEVER can say MATLAB replace VHDL
 

vlsi based matlab user manual

Normally use matlab to implement the algorithm. then convert to RTL code
 

matlab for vlsi

which toolbox can i use for vlsi design. is it in standart boxs.
 

vlsi matlab tools

While it should be a practical problem that it is difficult to set the computation resolution in MATLAB. For real implementation design, the resolution(bit-width) should be necessory for speed, power and chip area estimation and optimization.
 

converting the matlab codings to vlsi

no , but i use matlab to do dsp simulation ....
 

use of matlab for chip design

I had read an article that they tried to make a program (or a "link") to transfom simulink's block to HDL. If this is possible, then the coding process will be replaced by the transform program automatically.
But I also read an article from EDA news that synopsys will stop the "behavior synthesis" project. Because IC designer don't need it.
So, I think there would be some application suited for the flow of behavior sysnthesis (like simulink -> HDL, or behavior synthesis).
 

how we can change the matlab coding in to vlsi

Try matlab 7.
It can design sample Filter in convert to Verilog directly.
 

matlab to rtl

Since matlab is good at simulation and algorithm development, you can use it for
circuit desgin or device simulation, i think some rf engineers like it , especaily those who desgin filters, whatever analog or digital
 

can we use matlab for practical implementation

I use matlab as system level verification.
Especially for DSP algorithm.
 

matlab code for vlsi applications

For DSP project, matlab is almost necessary.
For others, it's not useful.
 

matlab + modeling + chip

gaonkc said:
Normally use matlab to implement the algorithm. then convert to RTL code

Do you mean matlab code to RTL directly?
 

matlab for vlsi

Hai,

THE MATLAB Do the conversion like this
 

how to simulate vlsi chip in matlab

The Matlab is only suitable for functional DSP simulation but not as powerful as HDL in other area. It is good for the beginner,

by the way ,any one have the information of the manual of Matlab in VLSI design ?
 

matlab models for different vlsi architectures

Yeah, Matlab is good for system level design and algorithm verification.
but it's not easy to convert matlab to chip
 

matlab in vlsi

I use Matlab in ASIC design, but it is used in the stage of system analysis and algorithm design and the verification. HDL is the description of transistors, it is the practical implementation of high level design in your mind.
 

matlab with vlsi

I use Matlab in algorithm design and verificaton. Then I convert it to RTL code. I think even Matlab can convert it automatically, the architecture would be not efficient.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top