Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

internal pulled up resistor described in HDL

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Is it possible to inffer internal pull-up (or pull-down) resistors in HDL (without doing it in the synthesis tool) ?
 

yes it is possible in the case of mixed signal modelling.by using either Averiog or AMSvhdl language but for these things we need simulators which supports analog and mixed signal hdl.we cant run this using either xilinx or quartus ise..
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
So it's impossible to do with synthesizable VHDL/Verilog ?
 

It is not clear what you want to do. Where is the pull-up in the final circuit?
 

On the I/O pin of the FPGA...
 

Yes. That's what I'm looking for. I know about the tool options.
I was asking about doing the same using purely HDL...
 

The altera coding guide makes no mention of infering pull-ups from code. So you will have to do it the "normal" way. Create settings that make the default whatever and the individual pin exceptions osmething else.
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top