Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

global reset generator design

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,
Please review the following code for an asynchronous assert synchronous deassert reset circuit.
"rst_i" is an external signal to the IC and "global_rst_o" is the global reset signal intended for destribution as an asynchronous reset for all the registers in the design.
tell me what you thing about it.


entity rst_generator is

port
(
clk_i, -- global clock input
rst_i: in std_logic; -- external reset input

global_rst_o: out std_logic -- global reset output
);

end entity rst_generator;


architecture rtl_rst_generator of rst_generator is

signal reg_rst_inp: std_logic;

begin

process (clk_i,rst_i) is
begin
if rst_i = '1' then
reg_rst_inp <= '1';
global_rst_o <= '1';
elsif rising_edge(clk_i) then
reg_rst_inp <= '0';
global_rst_o <= reg_rst_inp;
end if;
end process;


end architecture rtl_rst_generator;
 

Hello,
Please review the following code for an asynchronous assert synchronous deassert reset circuit.
"rst_i" is an external signal to the IC and "global_rst_o" is the global reset signal intended for destribution as an asynchronous reset for all the registers in the design.
tell me what you thing about it.

Looks good, you've double clocked the async reset input signal. You should have no trouble using this as a reset signal in your design.

If you happen to have more than one clock in your design, you'll have to do the same thing with each clock to develop a reset signal for each clock domain.

Kevin Jennings
 
  • Like
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top