Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by zbarabas

  1. Z

    how to set up a delay using two clock's

    Thanks shaiko, can you please present an example?
  2. Z

    how to set up a delay using two clock's

    I'm struggling to design the following in vhdl: -when an event occurs (rising-edge) set "A" to 0 then after 1000 clock cycles if no event occurred, set "A" to 1. More exactly: I made a stepper controller which has 2 motor current settings. When step pulses are coming I want to use a higher...
  3. Z

    How to include functions in packages?

    Hi, I'm new in vhdl programming. I want to make a 8 bit binary to bcd conversion and I have found the following double dabble algoritm on the net: function to_bcd ( bin : std_logic_vector(7 downto 0) ) return std_logic_vector is variable i : integer:=0; variable bcd : std_logic_vector(11 downto...

Part and Inventory Search

Back
Top