Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ygggliu

  1. Y

    What's the best VHDL/Verilog/SystemVerilog editor?

    pspad vhdl UltraEdit is best in windows, and vim is best in linux
  2. Y

    two source clock in DFT mode

    using multiplexer selected by test_mode, the two clock domain will be merged.
  3. Y

    Gate-level Netlist TO RTL Netlist

    gtech netlist the synthesis tools(Design Compiler) can help you transform the gate netlist to any process.
  4. Y

    DC output file usage and the full name of these file

    SPF is test protocol of DFT for TMAX input. SPF,Stil Procedure File
  5. Y

    Anyone Can Share Synopsys IC compiler Online PDF files ?

    Hi, xreaver Can you email the PDF to me? my email: yggg.liu@gmail.com

Part and Inventory Search

Back
Top