Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by wjswkvk208

  1. W

    I need help VHDL 16 bit Parallel adder.

    I made fullAdder. library ieee; use ieee.std_logic_1164.all; entity fulladder is port(a, b, z : in std_logic; s, c : out std_logic); end fulladder; architecture dataflow of fulladder is signal t1, t2, t3 : std_logic; begin t1 <= a XOR b; t2 <= a AND b; s <= t1 XOR z; t3 <= t1 XOR...

Part and Inventory Search

Back
Top