Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by VINUVIJAYAN

  1. V

    vhdl programming!!!!

    OK fine....thanks a lot to u,i now know how to open a file,read it line by line.now my next step is that i want to store the contents of the file onto a register say of 512 bits or so....how is that done???...i had posted my register declaration code before...but it was wrong is what you...
  2. V

    vhdl programming!!!!

    can you pls help me????:-( - - - Updated - - - please teach me then how to read a text file message onto a register in vhdl???
  3. V

    vhdl programming!!!!

    thank u so much fr replyin... i basically am a starter and i have learned the basics quite well...now what i want to know is: 1)open a text file with a message in vhdl 2)store the message onto registers here is my code --to open file type STD_LOGIC_FILE is file of STD_LOGIC_VECTOR; type...
  4. V

    sha 1 algorithm coding

    in sha 1 algorithm coding, we first have to take a message input and then process it...how is this done???is the text file opened and stored onto registers???or pls help me with how to open a text file and store message onto a register???
  5. V

    vhdl programming!!!!

    can anyone please help me with how to open a text file and store the message into a register????

Part and Inventory Search

Back
Top